From f38a46391774535b60befc707b088b8dab43adcd Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Tue, 14 May 2019 18:26:39 +0200 Subject: testsuite: add a test for python --- testsuite/python/001units/demo.vhdl | 7 +++++ testsuite/python/001units/show_units.py | 46 +++++++++++++++++++++++++++++++++ 2 files changed, 53 insertions(+) create mode 100644 testsuite/python/001units/demo.vhdl create mode 100755 testsuite/python/001units/show_units.py diff --git a/testsuite/python/001units/demo.vhdl b/testsuite/python/001units/demo.vhdl new file mode 100644 index 000000000..4e40f7e94 --- /dev/null +++ b/testsuite/python/001units/demo.vhdl @@ -0,0 +1,7 @@ +entity e1 is +end e1; + +architecture behav of e1 is +begin + assert false report "arch" severity note; +end behav; diff --git a/testsuite/python/001units/show_units.py b/testsuite/python/001units/show_units.py new file mode 100755 index 000000000..ad002312f --- /dev/null +++ b/testsuite/python/001units/show_units.py @@ -0,0 +1,46 @@ +#!/usr/bin/env python +import libghdl +import libghdl.thin.name_table as name_table +import libghdl.thin.files_map as files_map +import libghdl.thin.vhdl.nodes as nodes +import libghdl.thin.vhdl.sem_lib as sem_lib + +def init(): + """Initialization: set options and then load libaries""" + libghdl.set_option(b'--std=08') + libghdl.analyze_init() + +def get_identifier_ptr(n): + """Return the python string from node :param n: identifier""" + return name_table.Get_Name_Ptr(nodes.Get_Identifier(n)).decode('utf-8') + +def list_units(filename): + # Load the file + file_id = name_table.Get_Identifier(filename.encode('utf_8')) + sfe = files_map.Read_Source_File(name_table.Null_Identifier, file_id) + if sfe == files_map.No_Source_File_Entry: + print("cannot open file '{}'".format(filename)) + return + + # Parse and analyze + file = sem_lib.Load_File(sfe) + + # Display all design units + unit = nodes.Get_First_Design_Unit(file) + while unit != nodes.Null_Iir: + lib_unit = nodes.Get_Library_Unit(unit) + if nodes.Get_Kind(lib_unit) == nodes.Iir_Kind.Entity_Declaration: + print('entity {}'.format(get_identifier_ptr(lib_unit))) + elif nodes.Get_Kind(lib_unit) == nodes.Iir_Kind.Architecture_Body: + print('architecture {}'.format(get_identifier_ptr(lib_unit))) + else: + print('unknown unit!') + unit = nodes.Get_Chain(unit) + + +def main(): + init() + list_units('demo.vhdl') + +if __name__ == '__main__': + main() -- cgit v1.2.3