From bb29dc5b5e1e4aa0acf0352f8939b59fdc1513dd Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Thu, 7 Jan 2016 19:50:07 +0100 Subject: Add testcase for issue15. --- testsuite/gna/issue15/testsuite.sh | 11 +++++++++++ testsuite/gna/issue15/to_slv_issue.vhdl | 21 +++++++++++++++++++++ 2 files changed, 32 insertions(+) create mode 100755 testsuite/gna/issue15/testsuite.sh create mode 100644 testsuite/gna/issue15/to_slv_issue.vhdl diff --git a/testsuite/gna/issue15/testsuite.sh b/testsuite/gna/issue15/testsuite.sh new file mode 100755 index 000000000..34cbe5b2a --- /dev/null +++ b/testsuite/gna/issue15/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +GHDL_STD_FLAGS=--std=08 +analyze to_slv_issue.vhdl +elab_simulate to_slv_issue + +clean + +echo "Test successful" diff --git a/testsuite/gna/issue15/to_slv_issue.vhdl b/testsuite/gna/issue15/to_slv_issue.vhdl new file mode 100644 index 000000000..6ab5c2c3a --- /dev/null +++ b/testsuite/gna/issue15/to_slv_issue.vhdl @@ -0,0 +1,21 @@ +library ieee ; +use ieee.numeric_std_unsigned.to_slv ; +use ieee.std_logic_1164.all ; +use std.textio.all ; + +entity to_slv_issue is +end entity to_slv_issue ; +architecture doit of to_slv_issue is +begin + process + variable buf : line ; + begin + for i in 9 to 17 loop + hwrite(buf, to_slv(i,8)) ; + writeline(OUTPUT, buf) ; + end loop ; + wait ; + end process ; +end architecture doit ; + + -- cgit v1.2.3