From 99853361819bff87e7cf8103c5205721ec195c32 Mon Sep 17 00:00:00 2001 From: umarcor Date: Tue, 5 Jan 2021 20:46:15 +0100 Subject: mv dist/* scripts/ --- .github/workflows/push.yml | 16 +- .gitignore | 6 +- .travis.yml | 6 +- Makefile.in | 10 +- appveyor.yml | 10 +- configure | 2 +- dist/ansi_color.sh | 18 - dist/ci-run.sh | 493 ---------- dist/gcc/Make-lang.in | 218 ----- dist/gcc/config-lang.in | 36 - dist/gcc/lang-options.h | 29 - dist/gcc/lang-specs.h | 28 - dist/macosx/install-ada.sh | 60 -- dist/man.sh | 15 - dist/msys2-llvm/PKGBUILD | 34 - dist/msys2-mcode/PKGBUILD | 31 - dist/termux.sh | 15 - dist/windows/InstallerTemplate.ps1 | 359 -------- dist/windows/appveyor/build.ps1 | 112 --- dist/windows/appveyor/info.ps1 | 68 -- dist/windows/appveyor/install.ps1 | 39 - dist/windows/appveyor/shared.psm1 | 49 - dist/windows/appveyor/test.ps1 | 121 --- dist/windows/compile-ghdl.ps1 | 243 ----- dist/windows/compile-libraries.ps1 | 862 ----------------- dist/windows/compile.ps1 | 765 --------------- dist/windows/mcode/Makefile.in | 54 -- dist/windows/mcode/binary_file-format.ads | 3 - dist/windows/mcode/compile.bat | 31 - dist/windows/mcode/complib.bat | 119 --- dist/windows/mcode/default_paths.ads | 9 - dist/windows/mcode/ghdl.nsi | 458 --------- dist/windows/mcode/ghdlfilter.adb | 132 --- dist/windows/mcode/ghdlversion.adb | 30 - dist/windows/mcode/grt-backtraces-impl.ads | 3 - dist/windows/mcode/grt-modules.adb | 39 - dist/windows/mcode/ortho_code-x86-flags.ads | 2 - dist/windows/mcode/winbuild.bat | 20 - dist/windows/mcode/windows_default_path.adb | 68 -- dist/windows/mcode/windows_default_path.ads | 30 - dist/windows/shared.psm1 | 368 -------- dist/windows/targets.psm1 | 416 --------- doc/development/CodingStyle.rst | 2 +- doc/ghdl.texi | 1174 ++++++++++++------------ scripts/ansi_color.sh | 18 + scripts/ci-run.sh | 493 ++++++++++ scripts/gcc/Make-lang.in | 218 +++++ scripts/gcc/config-lang.in | 36 + scripts/gcc/lang-options.h | 29 + scripts/gcc/lang-specs.h | 28 + scripts/macosx/install-ada.sh | 60 ++ scripts/man.sh | 15 + scripts/msys2-llvm/PKGBUILD | 34 + scripts/msys2-mcode/PKGBUILD | 31 + scripts/termux.sh | 15 + scripts/windows/InstallerTemplate.ps1 | 359 ++++++++ scripts/windows/appveyor/build.ps1 | 112 +++ scripts/windows/appveyor/info.ps1 | 68 ++ scripts/windows/appveyor/install.ps1 | 39 + scripts/windows/appveyor/shared.psm1 | 49 + scripts/windows/appveyor/test.ps1 | 121 +++ scripts/windows/compile-ghdl.ps1 | 243 +++++ scripts/windows/compile-libraries.ps1 | 862 +++++++++++++++++ scripts/windows/compile.ps1 | 765 +++++++++++++++ scripts/windows/mcode/Makefile.in | 54 ++ scripts/windows/mcode/binary_file-format.ads | 3 + scripts/windows/mcode/compile.bat | 31 + scripts/windows/mcode/complib.bat | 119 +++ scripts/windows/mcode/default_paths.ads | 9 + scripts/windows/mcode/ghdl.nsi | 458 +++++++++ scripts/windows/mcode/ghdlfilter.adb | 132 +++ scripts/windows/mcode/ghdlversion.adb | 30 + scripts/windows/mcode/grt-backtraces-impl.ads | 3 + scripts/windows/mcode/grt-modules.adb | 39 + scripts/windows/mcode/ortho_code-x86-flags.ads | 2 + scripts/windows/mcode/winbuild.bat | 20 + scripts/windows/mcode/windows_default_path.adb | 68 ++ scripts/windows/mcode/windows_default_path.ads | 30 + scripts/windows/shared.psm1 | 368 ++++++++ scripts/windows/targets.psm1 | 416 +++++++++ 80 files changed, 5991 insertions(+), 5989 deletions(-) delete mode 100644 dist/ansi_color.sh delete mode 100755 dist/ci-run.sh delete mode 100644 dist/gcc/Make-lang.in delete mode 100644 dist/gcc/config-lang.in delete mode 100644 dist/gcc/lang-options.h delete mode 100644 dist/gcc/lang-specs.h delete mode 100755 dist/macosx/install-ada.sh delete mode 100755 dist/man.sh delete mode 100644 dist/msys2-llvm/PKGBUILD delete mode 100644 dist/msys2-mcode/PKGBUILD delete mode 100755 dist/termux.sh delete mode 100644 dist/windows/InstallerTemplate.ps1 delete mode 100644 dist/windows/appveyor/build.ps1 delete mode 100644 dist/windows/appveyor/info.ps1 delete mode 100644 dist/windows/appveyor/install.ps1 delete mode 100644 dist/windows/appveyor/shared.psm1 delete mode 100644 dist/windows/appveyor/test.ps1 delete mode 100644 dist/windows/compile-ghdl.ps1 delete mode 100644 dist/windows/compile-libraries.ps1 delete mode 100644 dist/windows/compile.ps1 delete mode 100644 dist/windows/mcode/Makefile.in delete mode 100644 dist/windows/mcode/binary_file-format.ads delete mode 100644 dist/windows/mcode/compile.bat delete mode 100644 dist/windows/mcode/complib.bat delete mode 100644 dist/windows/mcode/default_paths.ads delete mode 100644 dist/windows/mcode/ghdl.nsi delete mode 100644 dist/windows/mcode/ghdlfilter.adb delete mode 100644 dist/windows/mcode/ghdlversion.adb delete mode 100644 dist/windows/mcode/grt-backtraces-impl.ads delete mode 100644 dist/windows/mcode/grt-modules.adb delete mode 100644 dist/windows/mcode/ortho_code-x86-flags.ads delete mode 100644 dist/windows/mcode/winbuild.bat delete mode 100644 dist/windows/mcode/windows_default_path.adb delete mode 100644 dist/windows/mcode/windows_default_path.ads delete mode 100644 dist/windows/shared.psm1 delete mode 100644 dist/windows/targets.psm1 create mode 100644 scripts/ansi_color.sh create mode 100755 scripts/ci-run.sh create mode 100644 scripts/gcc/Make-lang.in create mode 100644 scripts/gcc/config-lang.in create mode 100644 scripts/gcc/lang-options.h create mode 100644 scripts/gcc/lang-specs.h create mode 100755 scripts/macosx/install-ada.sh create mode 100755 scripts/man.sh create mode 100644 scripts/msys2-llvm/PKGBUILD create mode 100644 scripts/msys2-mcode/PKGBUILD create mode 100755 scripts/termux.sh create mode 100644 scripts/windows/InstallerTemplate.ps1 create mode 100644 scripts/windows/appveyor/build.ps1 create mode 100644 scripts/windows/appveyor/info.ps1 create mode 100644 scripts/windows/appveyor/install.ps1 create mode 100644 scripts/windows/appveyor/shared.psm1 create mode 100644 scripts/windows/appveyor/test.ps1 create mode 100644 scripts/windows/compile-ghdl.ps1 create mode 100644 scripts/windows/compile-libraries.ps1 create mode 100644 scripts/windows/compile.ps1 create mode 100644 scripts/windows/mcode/Makefile.in create mode 100644 scripts/windows/mcode/binary_file-format.ads create mode 100644 scripts/windows/mcode/compile.bat create mode 100644 scripts/windows/mcode/complib.bat create mode 100644 scripts/windows/mcode/default_paths.ads create mode 100644 scripts/windows/mcode/ghdl.nsi create mode 100644 scripts/windows/mcode/ghdlfilter.adb create mode 100644 scripts/windows/mcode/ghdlversion.adb create mode 100644 scripts/windows/mcode/grt-backtraces-impl.ads create mode 100644 scripts/windows/mcode/grt-modules.adb create mode 100644 scripts/windows/mcode/ortho_code-x86-flags.ads create mode 100644 scripts/windows/mcode/winbuild.bat create mode 100644 scripts/windows/mcode/windows_default_path.adb create mode 100644 scripts/windows/mcode/windows_default_path.ads create mode 100644 scripts/windows/shared.psm1 create mode 100644 scripts/windows/targets.psm1 diff --git a/.github/workflows/push.yml b/.github/workflows/push.yml index 26f4d7c2d..9a7714759 100644 --- a/.github/workflows/push.yml +++ b/.github/workflows/push.yml @@ -54,7 +54,7 @@ jobs: - name: '🧰 Checkout' uses: actions/checkout@v2 - - run: TASK=buster+mcode ./dist/ci-run.sh -c --gpl --no-synth + - run: TASK=buster+mcode ./scripts/ci-run.sh -c --gpl --no-synth # # GNU/Linux @@ -82,7 +82,7 @@ jobs: - name: Build and test GHDL in containers run: | - TASK=ubuntu${{ matrix.os }}+${{ matrix.backend }} ./dist/ci-run.sh -c + TASK=ubuntu${{ matrix.os }}+${{ matrix.backend }} ./scripts/ci-run.sh -c mv ghdl-*-ubuntu${{ matrix.os }}-*.tgz ghdl-gha-ubuntu-${{ matrix.os }}.04-$(echo ${{ matrix.backend }} | sed 's#-.*##g').tgz - name: '📤 Upload artifact: package' @@ -106,12 +106,12 @@ jobs: run: | brew update || true brew install p7zip - ./dist/macosx/install-ada.sh + ./scripts/macosx/install-ada.sh - name: Build and test GHDL run: | PATH=$PWD/gnat/bin:$PATH - ./dist/ci-run.sh -c + ./scripts/ci-run.sh -c env: TASK: macosx+mcode GITHUB_OS: ${{ runner.os }} @@ -161,7 +161,7 @@ jobs: - name: Build package run: | - cd dist/msys2-${{ matrix.pkg }} + cd scripts/msys2-${{ matrix.pkg }} makepkg-mingw --noconfirm --noprogressbar -sCLf - name: '📤 Upload artifact: builddir' @@ -169,13 +169,13 @@ jobs: with: name: ${{ matrix.installs }}-${{ matrix.pkg }}-builddir path: | - ./dist/msys2-${{ matrix.pkg }}/src/ - ./dist/msys2-${{ matrix.pkg }}/pkg/ + ./scripts/msys2-${{ matrix.pkg }}/src/ + ./scripts/msys2-${{ matrix.pkg }}/pkg/ - name: '📤 Upload artifact: package' uses: actions/upload-artifact@v2 with: - path: ./dist/msys2-${{ matrix.pkg }}/mingw-*ghdl*.pkg.tar.zst + path: ./scripts/msys2-${{ matrix.pkg }}/mingw-*ghdl*.pkg.tar.zst # # Windows Test diff --git a/.gitignore b/.gitignore index e7c15aa0f..65093fc26 100644 --- a/.gitignore +++ b/.gitignore @@ -6,8 +6,10 @@ b~*.ad? # Generated files on windows. /build/ -/dist/msys2-mingw/**/logpipe.* -/dist/msys2-mingw/**/*.log +/scripts/msys2-*/logpipe.* +/scripts/msys2-*/*.log* +/scripts/msys2-*/src/ +/scripts/msys2-*/pkg/ # Generated files on Linux config.status diff --git a/.travis.yml b/.travis.yml index 61e88e155..8ab8fbaf3 100644 --- a/.travis.yml +++ b/.travis.yml @@ -7,7 +7,7 @@ dist: xenial services: docker language: minimal install: skip -script: ./dist/ci-run.sh -c $TARGS +script: ./scripts/ci-run.sh -c $TARGS # For each linux build, a different job/instance (with the constraints # above) is executed in parallel in stage 'test'. @@ -46,7 +46,7 @@ jobs: cache: directories: - gnat - install: ./dist/macosx/install-ada.sh + install: ./scripts/macosx/install-ada.sh before_script: PATH=$PWD/gnat/bin:$PATH addons: homebrew: @@ -57,7 +57,7 @@ jobs: # - <<: *osx # osx_image: xcode9.4 - env: TASK="man" - script: ./dist/man.sh + script: ./scripts/man.sh deploy: - <<: *dep file: "doc/_build/man/ghdl.1" diff --git a/Makefile.in b/Makefile.in index d3c2c8190..8d7310ce5 100644 --- a/Makefile.in +++ b/Makefile.in @@ -273,10 +273,10 @@ copy-sources.gcc: version.ads $(CP) -p $(srcdir)/doc/ghdl.texi $(srcdir)/doc/ghdl.1 $(gcc_vhdl_dir) $(MKDIR) $(gcc_vhdl_dir)/ghdldrv $(CP) -pR $(srcdir)/src/ghdldrv/*.ad? $(gcc_vhdl_dir)/ghdldrv - $(CP) -p $(srcdir)/dist/gcc/Make-lang.in $(gcc_vhdl_dir) - $(CP) -p $(srcdir)/dist/gcc/config-lang.in $(gcc_vhdl_dir) - $(CP) -p $(srcdir)/dist/gcc/lang-options.h $(gcc_vhdl_dir) - $(CP) -p $(srcdir)/dist/gcc/lang-specs.h $(gcc_vhdl_dir) + $(CP) -p $(srcdir)/scripts/gcc/Make-lang.in $(gcc_vhdl_dir) + $(CP) -p $(srcdir)/scripts/gcc/config-lang.in $(gcc_vhdl_dir) + $(CP) -p $(srcdir)/scripts/gcc/lang-options.h $(gcc_vhdl_dir) + $(CP) -p $(srcdir)/scripts/gcc/lang-specs.h $(gcc_vhdl_dir) # To build vhdl libs using a non-installed ghdl, define GHDL_GCC_BIN to the # path of ghdl and GHDL1_GCC_BIN to path of ghdl1 @@ -569,7 +569,7 @@ install.vhdllib: install.dirs done # ANSI color $(INSTALL_DATA) -p \ - $(srcdir)/dist/ansi_color.sh $(DESTDIR)$(VHDL_LIB_DIR)/; + $(srcdir)/scripts/ansi_color.sh $(DESTDIR)$(VHDL_LIB_DIR)/; # Vendors scripts $(MKDIR) -p $(DESTDIR)$(VHDL_LIB_DIR)/vendors $(INSTALL_DATA) -p \ diff --git a/appveyor.yml b/appveyor.yml index cf0534eb2..f3ffa1d3b 100644 --- a/appveyor.yml +++ b/appveyor.yml @@ -34,24 +34,24 @@ environment: init: - ps: Write-Host "Initializing virtual machine ..." - ps: $env:PATH = "C:\msys64\$($env:BUILD_MINGW)\bin;C:\msys64\usr\bin;" + $env:PATH -# - ps: Import-Module .\dist\appveyor\shared.psm1 -Verbose +# - ps: Import-Module .\scripts\appveyor\shared.psm1 -Verbose # installation scripts to run install: - - ps: .\dist\windows\appveyor\install.ps1 - - ps: .\dist\windows\appveyor\info.ps1 + - ps: .\scripts\windows\appveyor\install.ps1 + - ps: .\scripts\windows\appveyor\info.ps1 # Build flow # Disable MSBuild build: off # build scripts to run build_script: - - ps: .\dist\windows\appveyor\build.ps1 + - ps: .\scripts\windows\appveyor\build.ps1 # Test flow # test scripts to run test_script: - - ps: .\dist\windows\appveyor\test.ps1 + - ps: .\scripts\windows\appveyor\test.ps1 # ============================================================================= diff --git a/configure b/configure index 75599d776..8c1830176 100755 --- a/configure +++ b/configure @@ -396,7 +396,7 @@ echo "with $pkg_synth;" > ghdlsynth_maybe.ads echo "package Ghdlsynth_Maybe renames $pkg_synth;" >> ghdlsynth_maybe.ads # Generate default_paths.ads -# Also update dist/windows/mcode/default_paths.ads if you change this +# Also update scripts/windows/mcode/default_paths.ads if you change this # template. echo "Generate default_paths.ads" sed -e "s%@COMPILER_GCC@%ghdl1-gcc$EXEEXT%" \ diff --git a/dist/ansi_color.sh b/dist/ansi_color.sh deleted file mode 100644 index bb5c348bc..000000000 --- a/dist/ansi_color.sh +++ /dev/null @@ -1,18 +0,0 @@ -#!/bin/sh - -enable_color() { - ENABLECOLOR='-c ' - ANSI_RED="\033[31m" - ANSI_GREEN="\033[32m" - ANSI_YELLOW="\033[33m" - ANSI_BLUE="\033[34m" - ANSI_MAGENTA="\033[35m" - ANSI_GRAY="\033[90m" - ANSI_CYAN="\033[36;1m" - ANSI_DARKCYAN="\033[36m" - ANSI_NOCOLOR="\033[0m" -} - -disable_color() { unset ENABLECOLOR ANSI_RED ANSI_GREEN ANSI_YELLOW ANSI_BLUE ANSI_MAGENTA ANSI_CYAN ANSI_DARKCYAN ANSI_NOCOLOR; } - -enable_color diff --git a/dist/ci-run.sh b/dist/ci-run.sh deleted file mode 100755 index cee5d05e2..000000000 --- a/dist/ci-run.sh +++ /dev/null @@ -1,493 +0,0 @@ -#! /bin/bash - -scriptdir=`dirname $0` - -if [ -n "$GITHUB_EVENT_PATH" ]; then - export CI=true -fi - -. "$scriptdir/ansi_color.sh" -disable_color - -print_start() { - COL="$ANSI_YELLOW" - if [ "x$2" != "x" ]; then - COL="$2" - fi - printf "${COL}${1}$ANSI_NOCOLOR\n" -} - -gstart () { - print_start "$@" -} -gend () { - : -} - -if [ -n "$TRAVIS" ]; then - echo "INFO: set 'gstart' and 'gend' for TRAVIS" - # This is a trimmed down copy of https://github.com/travis-ci/travis-build/blob/master/lib/travis/build/bash/* - travis_time_start() { - # `date +%N` returns the date in nanoseconds. It is used as a replacement for $RANDOM, which is only available in bash. - travis_timer_id=`date +%N` - travis_start_time=`travis_nanoseconds` - echo "travis_time:start:$travis_timer_id" - } - travis_time_finish() { - travis_end_time=`travis_nanoseconds` - local duration=$(($travis_end_time-$travis_start_time)) - echo "travis_time:end:$travis_timer_id:start=$travis_start_time,finish=$travis_end_time,duration=$duration" - } - - if [ "$TRAVIS_OS_NAME" = "osx" ]; then - travis_nanoseconds() { - date -u '+%s000000000' - } - else - travis_nanoseconds() { - date -u '+%s%N' - } - fi - - gstart () { - echo "travis_fold:start:group" - travis_time_start - print_start "$@" - } - - gend () { - travis_time_finish - echo "travis_fold:end:group" - } -else - if [ -n "$CI" ]; then - echo "INFO: set 'gstart' and 'gend' for CI" - gstart () { - printf '::group::' - print_start "$@" - SECONDS=0 - } - - gend () { - duration=$SECONDS - echo '::endgroup::' - printf "${ANSI_GRAY}took $(($duration / 60)) min $(($duration % 60)) sec.${ANSI_NOCOLOR}\n" - } - fi -fi - -echo "cliargs: $0 $@" - -# Stop in case of error -set -e - -ISGPL=false -ISSYNTH=true - -# Transform long options to short ones -for arg in "$@"; do - shift - case "$arg" in - "--color"|"-color") set -- "$@" "-c";; - "--backend"|"-backend") set -- "$@" "-b";; - "--pkg"|"-pkg") set -- "$@" "-p";; - "--gpl"|"-gpl") set -- "$@" "-g";; - "--no-synth"|"-no-synth") set -- "$@" "-s";; - *) set -- "$@" "$arg" - esac -done -# Parse args -while getopts ":b:p:cgs" opt; do - case $opt in - c) enable_color;; - b) BACK=$OPTARG ;; - p) PKG_NAME=$OPTARG;; - g) ISGPL=true;; - s) ISSYNTH=false;; - \?) printf "$ANSI_RED[CI - args] Invalid option: -$OPTARG $ANSI_NOCOLOR\n" >&2 - exit 1 ;; - :) printf "$ANSI_RED[CI - args] Option -$OPTARG requires an argument. $ANSI_NOCOLOR\n" >&2 - exit 1 ;; - esac -done -shift $((OPTIND -1)) - -#--- - -# -# Build command options -# - -notag() { - # No tag: use date + commit id - echo "`git log -1 --date=short --pretty=format:%cd | sed 's/-//g'`-$PKG_SHA" -} - -vertag() { - if expr "$1" : 'v[0-9].*' > /dev/null; then - # Check version defined in configure. - cfgver=`grep "^ghdl_version=" configure | sed -e 's/.*"\(.*\)"/\1/'` - if [ "x$1" != "xv$cfgver" ]; then - printf "${ANSI_RED}Tag '$1' does not match configure 'ghdl_version' ($cfgver)!${ANSI_NOCOLOR}\n" 1>&2; - exit 1 - fi - # Remove leading 'v' in tags in the filenames. - echo $1 | cut -c2- - else - # Regular tag (like snapshots), nothing to change. - echo "$1" - fi -} - -buildCmdOpts () { - BUILD_ARG="$1" - - # Get short commit SHA - if [ -n "$TRAVIS_COMMIT" ]; then - GIT_SHA="$TRAVIS_COMMIT" - fi - if [ -n "$GITHUB_SHA" ]; then - GIT_SHA="$GITHUB_SHA" - fi - if [ -z "$GIT_SHA" ]; then - GIT_SHA="`git rev-parse --verify HEAD`" - fi - PKG_SHA="`printf $GIT_SHA | cut -c1-10`" - - echo "TRAVIS_COMMIT: $TRAVIS_COMMIT" - echo "TRAVIS_TAG: $TRAVIS_TAG" - echo "GITHUB_SHA: $GITHUB_SHA" - echo "GITHUB_REF: $GITHUB_REF" - echo "GIT_SHA: $GIT_SHA" - - # Compute package name - case "$GITHUB_REF" in - *tags*) - PKG_TAG="$(vertag "`echo "$GITHUB_REF" | sed 's#^refs/tags/\(.*\)#\1#g'`")" - ;; - *heads*|*pull*) - PKG_TAG="`notag`" - ;; - "") - if [ -z "$TRAVIS_TAG" ]; then - PKG_TAG="`notag`" - else - PKG_TAG="`vertag "$TRAVIS_TAG"`" - fi - ;; - *) - PKG_TAG="$GITHUB_REF" - ;; - esac - - echo "PKG_SHA: $PKG_SHA" - echo "PKG_TAG: $PKG_TAG" - - # Extract from BUILD_ARG - IFS='+' read -ra REFS <<< "$BUILD_ARG" - DDIST=${REFS[0]} # Linux distro (eg: ubuntuXX, fedoraXX) - DBACK=${REFS[1]} # Build/backend (eg: mcode, llvm) - - PKG_NAME="ghdl-${PKG_TAG}-${DDIST}-${DBACK}" - BUILD_CMD_OPTS="$ENABLECOLOR -b $DBACK" - - if [ "x$ISGPL" = "xtrue" ]; then - BUILD_CMD_OPTS="$BUILD_CMD_OPTS --gpl" - PKG_NAME="${PKG_NAME}-gpl" - DEXT="-gpl" - fi - export BUILD_CMD_OPTS="${BUILD_CMD_OPTS} -p $PKG_NAME" - - GHDL_IMAGE_TAG="`echo $BUILD_ARG | sed -e 's/+/-/g'`" - BUILD_IMAGE_TAG="$GHDL_IMAGE_TAG" - - case $BUILD_ARG in - *gcc*) - BUILD_IMAGE_TAG="`echo $GHDL_IMAGE_TAG | sed 's#\(.*\)-gcc.*#\1-gcc#g'`" - ;; - esac - - GHDL_IMAGE_TAG="${GHDL_IMAGE_TAG}$DEXT" -} - -run_cmd() { - echo "$@" - "$@" -} - -# -# Build ghdl -# - -build () { - rm -f build_ok - - #--- Env - - gstart "[GHDL - build] Environment" - env - gend - - #--- GPL: gpl-ize sources - - if [ "$ISGPL" = "true" ]; then - GPLDIR="${PKG_NAME}.src" - gstart "[GHDL - build] create GPL source package (${ANSI_CYAN}${GPLDIR}.tgz${ANSI_NOCOLOR})" - files=`echo *` - make -f Makefile.in srcdir=. clean-pure-gpl - mkdir "$GPLDIR" - cp -pdrl $files "$GPLDIR" - tar -zcf "${GPLDIR}.tgz" "$GPLDIR" - gend - fi - - #--- Configure - - CDIR=`pwd` - INSTALL_DIR="$CDIR/install-$BACK" - mkdir "$INSTALL_DIR" - mkdir "build-$BACK" - cd "build-$BACK" - - if [ "x$ISSYNTH" = "xfalse" ]; then - CONFIG_OPTS+=" --disable-synth" - fi - - case "$BACK" in - gcc*) - gstart "[GHDL - build] Get gcc sources" - gccURL="https://codeload.github.com/gcc-mirror/gcc/tar.gz/releases/${BACK}" - echo "$gccURL" - mkdir gcc-srcs - curl -L "$gccURL" | tar -xz -C gcc-srcs --strip-components=1 - cd gcc-srcs - sed -i.bak s/ftp:/http:/g ./contrib/download_prerequisites - ./contrib/download_prerequisites - cd .. - gend - - gstart "[GHDL - build] Configure ghdl" - run_cmd ../configure --with-gcc=gcc-srcs $CONFIG_OPTS - gend - gstart "[GHDL - build] Copy sources" - make copy-sources - mkdir gcc-objs; cd gcc-objs - gend - gstart "[GHDL - build] Configure gcc" - run_cmd ../gcc-srcs/configure --enable-languages=c,vhdl --disable-bootstrap --disable-lto --disable-multilib --disable-libssp --disable-libgomp --disable-libquadmath "`gcc -v 2>&1 | grep -o -- --enable-default-pie`" - gend - ;; - mcode) - CXX="" - ;; - llvm) - CXX="clang++" - CONFIG_OPTS+=" --with-llvm-config CXX=$CXX" - ;; - llvm-3.5) - CXX="clang++" - CONFIG_OPTS+=" --with-llvm-config=llvm-config-3.5 CXX=$CXX" - ;; - llvm-*) - llvmver=`echo $BACK | sed -e "s/llvm-//"` - CXX="clang++-$llvmver" - CONFIG_OPTS+=" --with-llvm-config=llvm-config-$llvmver CXX=$CXX" - ;; - *) - printf "$ANSI_RED[GHDL - build] Unknown build $BACK $ANSI_NOCOLOR\n" - exit 1;; - esac - - if [ ! "`echo $BACK | grep gcc`" ]; then - gstart "[GHDL - build] Configure" - run_cmd ../configure $CONFIG_OPTS - gend - fi - - #--- make - - gstart "[GHDL - build] Make" - set +e - make LIB_CFLAGS="$LIB_CFLAGS" OPT_FLAGS="$OPT_FLAGS" -j`nproc` 2>make_err.log - tail -1000 make_err.log - set -e - gend - - gstart "[GHDL - build] Install" - make DESTDIR="$INSTALL_DIR" install - cd .. - gend - - if [ "`echo $BACK | grep gcc`" ]; then - gstart "[GHDL - build] Make ghdllib" - make DESTDIR="$INSTALL_DIR" ghdllib - gend - - gstart "[GHDL - build] Install ghdllib" - make DESTDIR="$INSTALL_DIR" install - cd .. - gend - fi - - #--- package - - gstart "[GHDL - build] Create package ${ANSI_DARKCYAN}${PKG_NAME}.tgz" - tar -zcvf "${PKG_NAME}.tgz" -C "$INSTALL_DIR/usr/local" . - gend - - #--- build tools versions - - { - make --version | grep 'Make' - gnatls --version | grep 'GNATLS' - gcc --version | grep 'gcc' - if [ "$CXX" != "" ]; then - $CXX --version | grep 'clang' - fi - } > BUILD_TOOLS - - #--- - - printf "$ANSI_GREEN[GHDL - build] SUCCESSFUL${ANSI_NOCOLOR}\n" - touch build_ok -} - -# -# Build ghdl/ghdl image -# - -build_img_ghdl() { - gstart "[DOCKER - build] ghdl/ghdl:${GHDL_IMAGE_TAG}" "$ANSI_BLUE" - docker build -t ghdl/ghdl:$GHDL_IMAGE_TAG . -f-< tmp-dpaths.ads - echo "-- This file is created by Makefile" >> tmp-dpaths.ads - echo "package Default_Paths is" >> tmp-dpaths.ads - echo " -- Accept long lines." >> tmp-dpaths.ads - echo " pragma Style_Checks (\"M999\");" >> tmp-dpaths.ads - echo " Install_Prefix : constant String :=" >> tmp-dpaths.ads - echo " \"$(exec_prefix)\";" >> tmp-dpaths.ads - suffix=`expr @"$(libexecdir)" : @"$(prefix)/\(.*\)"`; \ - if test x"$$suffix" = x; then suffix="$(libexecdir)"; fi; \ - echo " Compiler_Gcc : constant String :=" >> tmp-dpaths.ads; \ - echo " \"$$suffix/gcc/$(target_noncanonical)/$(version)/ghdl1$(exeext)\";" >> tmp-dpaths.ads - echo " Compiler_Debug : constant String := \"\";" >> tmp-dpaths.ads - echo " Compiler_Mcode : constant String := \"\";" >> tmp-dpaths.ads - echo " Compiler_Llvm : constant String := \"\";" >> tmp-dpaths.ads - echo " Post_Processor : constant String := \"\";" >> tmp-dpaths.ads - echo " Lib_Prefix : constant String :=">> tmp-dpaths.ads - echo " \"lib/ghdl\";" >> tmp-dpaths.ads - echo " Shared_Library_Extension : constant String :=">> tmp-dpaths.ads - echo " \"$(VHDL_SOEXT)\";" >> tmp-dpaths.ads - echo " Default_Pie : constant Boolean := False;" >> tmp-dpaths.ads - echo "end Default_Paths;" >> tmp-dpaths.ads - $(srcdir)/../move-if-change tmp-dpaths.ads $@ - -GHDL_GRT_OBJS=vhdl/grt-cstdio.o - -# The driver for ghdl. Depends on ghdl1 to use object files in vhdl/ subdir. -ghdl$(exeext): ghdl1$(exeext) $(GHDL_GRT_OBJS) vhdl/default_paths.ads force - $(GNATMAKE) -o $@ -aI$(srcdir)/vhdl -aI$(srcdir)/vhdl/ghdldrv \ - -aIvhdl -aOvhdl ghdl_gcc \ - -cargs $(CFLAGS) $(GHDL_ADAFLAGS) \ - -bargs -E \ - -largs --LINK=$(LLINKER) $(ALL_LINKERFLAGS) $(LDFLAGS) \ - $(GHDL_GRT_OBJS) $(LIBS) - -# Build hooks: - -vhdl.all.build: - -vhdl.all.cross: - @echo "No support for building vhdl cross-compiler" - exit 1 - -vhdl.start.encap: -vhdl.rest.encap: - -# Documentation hooks -doc/ghdl.info: vhdl/ghdl.texi - -rm -f doc/ghdl.info* - $(MAKEINFO) $(MAKEINFOFLAGS) -o $@ $< - -doc/ghdl.dvi: vhdl/ghdl.texi - $(TEXI2DVI) -o $@ $< - -vhdl.info: doc/ghdl.info - -vhdl.man: - -vhdl.dvi: doc/ghdl.dvi - -vhdl.generated-manpages: - -# Install hooks: -# ghdl1 is installed elsewhere as part of $(COMPILERS). - -vhdl.install-normal: - -vhdl.install-plugin: - -# Install the driver program as ghdl. -vhdl.install-common: ghdl$(exeext) ghdl1$(exeext) - -mkdir $(DESTDIR)$(bindir) - -$(RM) $(DESTDIR)$(bindir)/ghdl$(exeext) - $(INSTALL_PROGRAM) ghdl$(exeext) $(DESTDIR)$(bindir)/ghdl$(exeext) - -mkdir $(DESTDIR)$(libdir) - -mkdir $(DESTDIR)$(libdir)/ghdl - $(INSTALL_DATA) ../libbacktrace/.libs/libbacktrace.a $(DESTDIR)$(libdir)/ghdl - -install-info:: $(DESTDIR)$(infodir)/ghdl.info - -vhdl.install-info: $(DESTDIR)$(infodir)/ghdl.info - -vhdl.install-man: $(DESTDIR)$(man1dir)/ghdl$(man1ext) - -$(DESTDIR)$(man1dir)/ghdl$(man1ext): $(srcdir)/vhdl/ghdl.1 - -rm -f $@ - -$(INSTALL_DATA) $< $@ - -chmod a-x $@ - -vhdl.uninstall: - -$(RM) $(DESTDIR)$(bindir)/ghdl$(exeext) - - -# Clean hooks: -# A lot of the ancillary files are deleted by the main makefile. -# We just have to delete files specific to us. - -vhdl.mostlyclean: - -$(RM) vhdl/*$(objext) vhdl/*.ali vhdl/b~*.ad? - -$(RM) vhdl/default_paths.ads -vhdl.clean: - -$(RM) vhdl/*$(objext) - -$(RM) vhdl/default_paths.ads -vhdl.distclean: - -$(RM) vhdl/Makefile - -$(RM) ghdl$(exeext) -vhdl.extraclean: - -vhdl.maintainer-clean: - - -# Stage hooks: -# The main makefile has already created stage?/vhdl - -vhdl.stage1: - -$(MV) vhdl/*$(objext) vhdl/*.ali vhdl/b_*.c stage1/vhdl - -$(MV) vhdl/stamp-* stage1/vhdl -vhdl.stage2: - -$(MV) vhdl/*$(objext) vhdl/*.ali vhdl/b_*.c stage2/vhdl - -$(MV) vhdl/stamp-* stage2/vhdl -vhdl.stage3: - -$(MV) vhdl/*$(objext) vhdl/*.ali vhdl/b_*.c stage3/vhdl - -$(MV) vhdl/stamp-* stage3/vhdl -vhdl.stage4: - -$(MV) vhdl/*$(objext) vhdl/*.ali vhdl/b_*.c stage4/vhdl - -$(MV) vhdl/stamp-* stage4/vhdl - -# No vhdl-specific selftests -selftest-vhdl: diff --git a/dist/gcc/config-lang.in b/dist/gcc/config-lang.in deleted file mode 100644 index 3ce9851f3..000000000 --- a/dist/gcc/config-lang.in +++ /dev/null @@ -1,36 +0,0 @@ -# Top level configure fragment for GNU vhdl (GHDL). -# Copyright (C) 1994-2001 Free Software Foundation, Inc. - -#This file is part of GNU CC. - -#GNU CC is free software; you can redistribute it and/or modify -#it under the terms of the GNU General Public License as published by -#the Free Software Foundation; either version 2, or (at your option) -#any later version. - -#GNU CC is distributed in the hope that it will be useful, -#but WITHOUT ANY WARRANTY; without even the implied warranty of -#MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -#GNU General Public License for more details. - -#You should have received a copy of the GNU General Public License -#along with GNU CC; see the file COPYING. If not, write to -#the Free Software Foundation, 59 Temple Place - Suite 330, -#Boston, MA 02111-1307, USA. - -# Configure looks for the existence of this file to auto-config each language. -# We define several parameters used by configure: -# -# language - name of language as it would appear in $(LANGUAGES) -# boot_language - "yes" if we need to build this language in stage1 -# compilers - value to add to $(COMPILERS) -# stagestuff - files to add to $(STAGESTUFF) - -language="vhdl" -boot_language=no - -compilers="ghdl1\$(exeext)" - -stagestuff="ghdl\$(exeext) ghdl1\$(exeext)" - -gtfiles="\$(srcdir)/vhdl/ortho-lang.c" diff --git a/dist/gcc/lang-options.h b/dist/gcc/lang-options.h deleted file mode 100644 index c92b12132..000000000 --- a/dist/gcc/lang-options.h +++ /dev/null @@ -1,29 +0,0 @@ -/* Definitions for switches for vhdl. - Copyright (C) 2002 - Free Software Foundation, Inc. - -This file is part of GNU CC. - -GNU CC is free software; you can redistribute it and/or modify -it under the terms of the GNU General Public License as published by -the Free Software Foundation; either version 2, or (at your option) -any later version. - -GNU CC is distributed in the hope that it will be useful, -but WITHOUT ANY WARRANTY; without even the implied warranty of -MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -GNU General Public License for more details. - -You should have received a copy of the GNU General Public License -along with GNU CC; see the file COPYING. If not, write to -the Free Software Foundation, 59 Temple Place - Suite 330, -Boston, MA 02111-1307, USA. */ - -DEFINE_LANG_NAME ("vhdl") - -/* This is the contribution to the `lang_options' array in gcc.c for ghdl. */ - - {"--ghdl-", "Specify options to GHDL"}, - - - diff --git a/dist/gcc/lang-specs.h b/dist/gcc/lang-specs.h deleted file mode 100644 index 050443521..000000000 --- a/dist/gcc/lang-specs.h +++ /dev/null @@ -1,28 +0,0 @@ -/* Definitions for specs for vhdl. - Copyright (C) 2002 - Free Software Foundation, Inc. - -This file is part of GNU CC. - -GNU CC is free software; you can redistribute it and/or modify -it under the terms of the GNU General Public License as published by -the Free Software Foundation; either version 2, or (at your option) -any later version. - -GNU CC is distributed in the hope that it will be useful, -but WITHOUT ANY WARRANTY; without even the implied warranty of -MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -GNU General Public License for more details. - -You should have received a copy of the GNU General Public License -along with GNU CC; see the file COPYING. If not, write to -the Free Software Foundation, 59 Temple Place - Suite 330, -Boston, MA 02111-1307, USA. */ - -/* This is the contribution to the `default_compilers' array in gcc.c for - GHDL. */ - - {".vhd", "@vhdl", 0, 0, 0}, - {".vhdl", "@vhdl", 0, 0, 0}, - {"@vhdl", - "ghdl1 %i %(cc1_options) %{!fsyntax-only:%(invoke_as)}", 0, 0, 0}, diff --git a/dist/macosx/install-ada.sh b/dist/macosx/install-ada.sh deleted file mode 100755 index 760be908b..000000000 --- a/dist/macosx/install-ada.sh +++ /dev/null @@ -1,60 +0,0 @@ -#!/bin/bash - -set -e - -if [ -e gnat/etc/install_ok ] && [ "x$(cat gnat/etc/install_ok)" = "x2019" ]; then - echo "gnatgpl already installed" - exit 0 -fi - -echo "Download and install gnat-gpl" -set -x - -# Remove old gnat directory -if [ -d gnat ]; then - rm -rf gnat -fi - -# Download from community.adacore.com and extract -wget -O dmgfile https://community.download.adacore.com/v1/5a7801fc686e86de838cfaf7071170152d81254d?filename=gnat-community-2019-20190517-x86_64-darwin-bin.dmg -7z x dmgfile -installer="gnat-community-2019-20190517-x86_64-darwin-bin/gnat-community-2019-20190517-x86_64-darwin-bin.app/Contents/MacOS/gnat-community-2019-20190517-x86_64-darwin-bin" - -# Install -mkdir -p gnat -chmod +x $installer -./$installer PREFIX=gnat - -# Cleanup: remove components not needed -rm -rf gnat/share/{themes,icons} \ - gnat/share/{man,info,doc,examples,gpr,gprconfig,gnatcoll} \ - gnat/share/gps \ - gnat/share/gdb* gnat/share/glib* gnat/share/gcc-*/python \ - gnat/etc/fonts gnat/etc/gtk* \ - gnat/include/{asis,aunit,gnat_util,gnatcoll,gpr,xmlada} \ - gnat/include/aws* gnat/include/pycairo gnat/include/python* \ - gnat/include/pygobject* gnat/include/gdb \ - gnat/include/c++ \ - gnat/lib/{aunit,gnat,gnat_util,gnatcoll,gpr,gps,xmlada} \ - gnat/lib/aws* gnat/lib/girepository* gnat/lib/gtk* \ - gnat/lib/python* \ - gnat/lib/gcc/x86*/*/{gcc-include,plugin,install-tools} \ - gnat/lib/gcc/x86*/*/rts-ios-simulator \ - gnat/lib/gcc/x86*/*/rts-native/adalib/lib*.dSYM \ - gnat/lib/gcc/x86*/*/rts-native/adalib/*.dylib \ - gnat/lib/gcc/x86*/*/rts-native/adalib/lib*_pic.a \ - gnat/libexec/gprbuild \ - gnat/libexec/gcc/x86*/*/{plugin,install-tools} \ - gnat/bin/aws* gnat/bin/gps* gnat/bin/gcov* \ - gnat/bin/gnat2* gnat/bin/xml2* gnat/bin/gnatcoll* \ - gnat/bin/gnat{doc,metric,pp,stub,prep,test,check,elim,inspect,find,kr} \ - gnat/bin/gnat{xref,name} \ - gnat/bin/gpr* gnat/bin/templates* gnat/bin/web* gnat/bin/wsdl* \ - gnat/bin/{gdb,cpp,c++,g++} gnat/bin/x86_64-* \ - gnat/lib/libcc1* gnat/lib/libgomp* gnat/lib/libitm* gnat/lib/libasan* \ - gnat/lib/libatomic* gnat/lib/libobjc* gnat/lib/libssp* \ - gnat/lib/libstdc++* gnat/lib/libubsan* gnat/lib/libsupc++* \ - gnat/lib/libxmlada* \ - gnat/libexec/gcc/x86*/*/{cc1obj,cc1plus,lto1} - -echo "2019" > gnat/etc/install_ok diff --git a/dist/man.sh b/dist/man.sh deleted file mode 100755 index 4c5316ddb..000000000 --- a/dist/man.sh +++ /dev/null @@ -1,15 +0,0 @@ -#! /bin/bash - -cd $(dirname $0)/.. - -rm -rf doc/_build/man/* - -set -e - -docker run --rm -it \ - -v /$(pwd):/src \ - -w //src/doc \ - btdi/sphinx:featured \ - sh -c "sphinx-build -T -b man . ./_build/man" - -nroff -man doc/_build/man/ghdl.1 diff --git a/dist/msys2-llvm/PKGBUILD b/dist/msys2-llvm/PKGBUILD deleted file mode 100644 index 9abac48af..000000000 --- a/dist/msys2-llvm/PKGBUILD +++ /dev/null @@ -1,34 +0,0 @@ -_realname=ghdl -pkgbase=mingw-w64-${_realname} -pkgname=("${MINGW_PACKAGE_PREFIX}-${_realname}") -pkgver=ci -pkgrel=1 -pkgdesc="GHDL: the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL (LLVM backend) (mingw-w64)" -arch=('any') -provides=("${MINGW_PACKAGE_PREFIX}-${_realname}") -pkgname=("${MINGW_PACKAGE_PREFIX}-${_realname}-llvm") -depends=( - "${MINGW_PACKAGE_PREFIX}-clang" - "${MINGW_PACKAGE_PREFIX}-gcc-ada" - 'zlib-devel' -) - -build() { - mkdir "${srcdir}/builddir" - cd "${srcdir}/builddir" - export CC=clang - export CXX=clang++ - ../../../../configure \ - --prefix=${MINGW_PREFIX} \ - --with-llvm-config="llvm-config --link-static" \ - LDFLAGS="-static" \ - --enable-libghdl \ - --enable-synth - make GNATMAKE="gnatmake -j$(nproc)" -} - -package() { - cd "${srcdir}/builddir" - mkdir -p "${pkgdir}${MINGW_PREFIX}/lib" - make DESTDIR="${pkgdir}" install -} diff --git a/dist/msys2-mcode/PKGBUILD b/dist/msys2-mcode/PKGBUILD deleted file mode 100644 index 853b0429b..000000000 --- a/dist/msys2-mcode/PKGBUILD +++ /dev/null @@ -1,31 +0,0 @@ -_realname=ghdl -pkgbase=mingw-w64-${_realname} -pkgname=("${MINGW_PACKAGE_PREFIX}-${_realname}") -pkgver=ci -pkgrel=1 -pkgdesc="GHDL: the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL (mcode backend) (mingw-w64)" -arch=('any') -provides=("${MINGW_PACKAGE_PREFIX}-${_realname}") -pkgname=("${MINGW_PACKAGE_PREFIX}-${_realname}-mcode") -depends=( - "${MINGW_PACKAGE_PREFIX}-gcc-ada" - 'zlib-devel' -) -makedepends=("${MINGW_PACKAGE_PREFIX}-gcc") - -build() { - mkdir "${srcdir}/builddir" - cd "${srcdir}/builddir" - ../../../../configure \ - --prefix=${MINGW_PREFIX} \ - LDFLAGS=-static \ - --enable-libghdl \ - --enable-synth - make GNATMAKE="gnatmake -j$(nproc)" -} - -package() { - cd "${srcdir}/builddir" - mkdir -p "${pkgdir}${MINGW_PREFIX}/lib" - make DESTDIR="${pkgdir}" install -} diff --git a/dist/termux.sh b/dist/termux.sh deleted file mode 100755 index f2b115850..000000000 --- a/dist/termux.sh +++ /dev/null @@ -1,15 +0,0 @@ -# Build and install GHDL on termux (https://termux.com/) - -cd $(dirname "$0")/.. - -curl -fsSL https://its-pointless.github.io/setup-pointless-repo.sh | bash - -pkg install gnat-10 llvm clang make -setupgcc-10 -setup-patchforgcc - -mkdir -p build-termux -cd build-termux -CXX=clang++ -../configure --default-pic --enable-synth --with-llvm-config=llvm-config --prefix="$PREFIX" -make -make install diff --git a/dist/windows/InstallerTemplate.ps1 b/dist/windows/InstallerTemplate.ps1 deleted file mode 100644 index f04a89ad4..000000000 --- a/dist/windows/InstallerTemplate.ps1 +++ /dev/null @@ -1,359 +0,0 @@ -# EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -# vim: tabstop=2:shiftwidth=2:noexpandtab -# kate: tab-width 2; replace-tabs off; indent-width 2; -# -# ============================================================================== -# Authors: Patrick Lehmann -# -# PowerShell Script: Self-extracting (ZIP) installer for GHDL for Windows -# -# Description: -# ------------------------------------ -# This is a PowerShell script (executable) which: -# - writes a ZIP file form an internal BLOB variable (base64 encoded) -# - extract the ZIP file's content to a destination directory -# -# ============================================================================== -# Copyright (C) 2015-2017 Patrick Lehmann -# -# GHDL is free software; you can redistribute it and/or modify it under -# the terms of the GNU General Public License as published by the Free -# Software Foundation; either version 2, or (at your option) any later -# version. -# -# GHDL is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or -# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -# for more details. -# -# You should have received a copy of the GNU General Public License -# along with GHDL; see the file COPYING. If not, write to the Free -# Software Foundation, 59 Temple Place - Suite 330, Boston, MA -# 02111-1307, USA. -# ============================================================================== - -# .SYNOPSIS -# GHDL for Windows - GHDL installer script -# Use 'install.ps1 -Help' to see the integrated help page -# -# .EXAMPLE -# # -# # Normal flow -# PS> .\install.ps1 -Install -# -# # Advanced flow -# PS> .\compile.ps1 -AddToPath Machine -Install "C:\Tools\GHDL" -# -[CmdletBinding()] -Param( - # install all files into a directory (xcopy deployment) - [switch]$Install = $false, - [Parameter(Mandatory=$false, ValueFromRemainingArguments=$true)] - [String]$InstallDir = "", - # update files - [switch]$Update, - - # register GHDL in PATH - [Parameter(Mandatory=$false)] - [ValidateSet("Machine", "User", "Session", "Remove", "Pass")] - [String]$AddToPath = "", - - # display this help" - [switch]$Help -) - -# save parameters and current working directory -$Script_ScriptDir = $PSScriptRoot -$Script_WorkingDir = Get-Location -$GHDLRootDir = Convert-Path (Resolve-Path ($PSScriptRoot + "\" + $RelPathToRoot)) - -# set default values -$EnableVerbose = $PSCmdlet.MyInvocation.BoundParameters["Verbose"] -$EnableDebug = $PSCmdlet.MyInvocation.BoundParameters["Debug"] -if ($EnableVerbose -eq $null) { $EnableVerbose = $false } -if ($EnableDebug -eq $null) { $EnableDebug = $false } -if ($EnableDebug -eq $true) { $EnableVerbose = $true } - -# Display help if no command was selected -$Help = $Help -or (-not ($Install -or $Update)) - -# configure some variables: paths, executables, directory names, ... -$GHDLVersion = "0.34-dev" -$GHDLBackend = "mcode" -$DefaultInstallPath = "C:\Program Files (x86)\GHDL" # This is the default path for 32-bit applications (x86-32) - -Write-Host "================================================================================" -ForegroundColor Magenta -Write-Host "GHDL for Windows - GHDL install script" -ForegroundColor Magenta -Write-Host "================================================================================" -ForegroundColor Magenta - -function Exit-Script -{ [CmdletBinding()] - param( - [int]$ExitCode = 0 - ) - cd $Script_WorkingDir - exit $ExitCode -} - -if ($Help) -{ Get-Help $MYINVOCATION.InvocationName -Detailed - Exit-Script -} - -$EnvPath_ContainerMapping = @{ - Machine = [EnvironmentVariableTarget]::Machine - User = [EnvironmentVariableTarget]::User -} - -# GitHub user: https://github.com/mkropat -# Gist account at GitHub: https://gist.github.com/mkropat -# Gist snippet URL: https://gist.github.com/mkropat/c1226e0cc2ca941b23a9 -function Add-EnvPath -{ param( - [Parameter(Mandatory=$true)] - [string] $Path, - - [ValidateSet("Machine", "User", "Session")] - [string] $Container = "Session" - ) - - if ($Container -ne "Session") - { $containerType = $EnvPath_ContainerMapping[$Container] - $persistedPaths = [Environment]::GetEnvironmentVariable("Path", $containerType) -split ";" - if ($persistedPaths -notcontains $Path) - { $persistedPaths = $persistedPaths + $Path | where { $_ } - [Environment]::SetEnvironmentVariable("Path", $persistedPaths -join ";", $containerType) - } - } - - $envPaths = $env:Path -split ";" - if ($envPaths -notcontains $Path) - { $envPaths = $envPaths + $Path | where { $_ } - $env:Path = $envPaths -join ";" - } -} - -# GitHub user: https://github.com/mkropat -# Gist account at GitHub: https://gist.github.com/mkropat -# Gist snippet URL: https://gist.github.com/mkropat/c1226e0cc2ca941b23a9 -function Remove-EnvPath -{ param ( - [Parameter(Mandatory=$true)] - [string] $Path, - - [ValidateSet("Machine", "User", "Session")] - [string] $Container = "Session" - ) - - if ($Container -ne "Session") - { $containerType = $EnvPath_ContainerMapping[$Container] - $persistedPaths = [Environment]::GetEnvironmentVariable("Path", $containerType) -split ";" - if ($persistedPaths -contains $Path) - { $persistedPaths = $persistedPaths | where { $_ -and $_ -ne $Path } - [Environment]::SetEnvironmentVariable("Path", $persistedPaths -join ";", $containerType) - } - } - - $envPaths = $env:Path -split ";" - if ($envPaths -contains $Path) - { $envPaths = $envPaths | where { $_ -and $_ -ne $Path } - $env:Path = $envPaths -join ";" - } -} - -# GitHub user: https://github.com/mkropat -# Gist account at GitHub: https://gist.github.com/mkropat -# Gist snippet URL: https://gist.github.com/mkropat/c1226e0cc2ca941b23a9 -function Get-EnvPath -{ param ( - [Parameter(Mandatory=$true)] - [ValidateSet("Machine", "User")] - [string] $Container - ) - - $containerType = $EnvPath_ContainerMapping[$Container] - [Environment]::GetEnvironmentVariable('Path', $containerType) -split ";" | where { $_ } -} - -# ============================================================================ -# Base64 encoded zip file content -# ============================================================================ - -# DATASECTION - -# ============================================================================ - - -# ============================================================================ -# Install tasks -# ============================================================================ -if ($Install) -{ Write-Host "Installing GHDL $GHDLVersion for Windows..." - if ($InstallDir -eq "") - { $InstallPath = $DefaultInstallPath - } - else - { $InstallPath = $InstallDir } - $InstallPath = $InstallPath.TrimEnd("\") - - if (Test-Path -Path $InstallPath) - { Write-Host "[ERROR]: Directory '$InstallPath' already exists." -ForegroundColor Red - Exit-Script -1 - } - Write-Host " Install directory: $InstallPath" - Write-Host " Creating directory '$InstallPath' and sub-directories..." - New-Item -ItemType Directory -Path "$InstallPath" -ErrorAction SilentlyContinue | Out-Null - - # writing ZIP file to disk - $TempFilePath = [System.IO.Path]::GetTempFileName().TrimEnd("tmp") + "zip" - Write-Host " Writing temporary ZIP file: $TempFilePath" - $CompressedFileContentAsBytes = [System.Convert]::FromBase64String($CompressedFileContentInBase64) - [System.IO.File]::WriteAllBytes("$TempFilePath", $CompressedFileContentAsBytes) - - Write-Host " Extracting ZIP file to: $InstallPath" - Microsoft.PowerShell.Archive\Expand-Archive "$TempFilePath" -DestinationPath $InstallPath -Force - - Remove-Item $TempFilePath - - - if ($AddToPath -eq "") - { while($true) - { Write-Host " Install GHDL in PATH at machine level? [" -NoNewline -ForegroundColor DarkCyan - Write-Host "M" -NoNewline -ForegroundColor Cyan - Write-Host "achine/" -NoNewline -ForegroundColor DarkCyan - Write-Host "u" -NoNewline -ForegroundColor Cyan - Write-Host "ser/" -NoNewline -ForegroundColor DarkCyan - Write-Host "s" -NoNewline -ForegroundColor Cyan - Write-Host "ession]: " -NoNewline -ForegroundColor DarkCyan - $InstallInPath = (Read-Host).ToLower() - if ($InstallInPath -in "m","u","s") - { break } - else - { Write-Host "[ERROR]: Unsupported choice: '$InstallInPath'." -ForegroundColor Red } - } - } - elseif ($AddToPath -eq "Machine") - { $InstallInPath = "m" } - elseif ($AddToPath -eq "User") - { $InstallInPath = "u" } - elseif ($AddToPath -eq "Session") - { $InstallInPath = "s" } - - if (($InstallInPath -eq "") -or ($InstallInPath -eq "m")) - { Write-Host " Adding GHDL to PATH at machine level." - Add-EnvPath -Path "$InstallPath\bin" -Container "Machine" - Add-EnvPath -Path "$InstallPath\bin" -Container "Session" - } - elseif ($InstallInPath -eq "u") - { Write-Host " Adding GHDL to PATH at user level." - Add-EnvPath -Path "$InstallPath\bin" -Container "User" - Add-EnvPath -Path "$InstallPath\bin" -Container "Session" - } - elseif ($InstallInPath -eq "s") - { Write-Host " Adding GHDL to PATH at session level." - Add-EnvPath -Path "$InstallPath\bin" -Container "Session" - } - - Write-Host - Write-Host "Installing files " -NoNewline - Write-Host "[SUCCESSFUL]" -ForegroundColor Green - Write-Host - - Exit-Script -} # Install -elseif ($Update) -{ Write-Host "Updating GHDL $GHDLVersion for Windows..." - if ($InstallDir -eq "") - { $InstallPath = $DefaultInstallPath - } - else - { $InstallPath = $InstallDir } - $InstallPath = $InstallPath.TrimEnd("\") - - Write-Host " Install directory: $InstallPath" - if (Test-Path -Path $InstallPath) - { Write-Host " Cleaning up installation directory '$InstallPath'." -ForegroundColor Yellow - Get-ChildItem -Path $InstallPath -Depth 0 | foreach { Remove-Item $_.FullName -Recurse -Force } - } - - Write-Host " Creating directory sub-directories in '$InstallPath' ..." - - # writing ZIP file to disk - $TempFilePath = [System.IO.Path]::GetTempFileName() - Write-Host " Writing temporary ZIP file: $TempFilePath" - $CompressedFileContentAsBytes = [System.Convert]::FromBase64String($CompressedFileContentInBase64) - [System.IO.File]::WriteAllBytes("$TempFilePath", $CompressedFileContentAsBytes) - - Write-Host " Extracting ZIP file to: $InstallPath" - Expand-Archive "$TempFilePath" -OutputPath $InstallPath -ShowProgress - - Remove-Item $TempFilePath - - if ($AddToPath -eq "") - { while($true) - { Write-Host " Install GHDL in PATH at machine level? [" -NoNewline -ForegroundColor DarkCyan - Write-Host "M" -NoNewline -ForegroundColor Cyan - Write-Host "achine/" -NoNewline -ForegroundColor DarkCyan - Write-Host "u" -NoNewline -ForegroundColor Cyan - Write-Host "ser/" -NoNewline -ForegroundColor DarkCyan - Write-Host "s" -NoNewline -ForegroundColor Cyan - Write-Host "ession/" -NoNewline -ForegroundColor DarkCyan - Write-Host "r" -NoNewline -ForegroundColor Cyan - Write-Host "emove/" -NoNewline -ForegroundColor DarkCyan - Write-Host "p" -NoNewline -ForegroundColor Cyan - Write-Host "ass]: " -NoNewline -ForegroundColor DarkCyan - $InstallInPath = (Read-Host).ToLower() - if ($InstallInPath -in "m","u","s","r","p") - { break } - else - { Write-Host "[ERROR]: Unsupported choice: '$InstallInPath'." -ForegroundColor Red } - } - } - elseif ($AddToPath -eq "Machine") - { $InstallInPath = "m" } - elseif ($AddToPath -eq "User") - { $InstallInPath = "u" } - elseif ($AddToPath -eq "Session") - { $InstallInPath = "s" } - elseif ($AddToPath -eq "Remove") - { $InstallInPath = "r" } - elseif ($AddToPath -eq "Pass") - { $InstallInPath = "p" } - - if ($InstallInPath -ne "p") - { Write-Host " Removing GHDL from PATH variables in Machine, User, Session ..." -ForegroundColor Yellow - foreach ($container in @("Machine", "User")) - { foreach ($entry in (Get-EnvPath -Container $container)) - { if ($entry.ToLower().Contains("ghdl")) - { Write-Host " Removing '$entry' from $container level." - Remove-EnvPath -Path $entry -Container $container - } - } - } - Remove-EnvPath -Path $entry -Container "Session" - - if (($InstallInPath -eq "") -or ($InstallInPath -eq "m")) - { Write-Host " Adding GHDL to PATH at machine level." - Add-EnvPath -Path "$InstallPath\bin" -Container "Machine" - Add-EnvPath -Path "$InstallPath\bin" -Container "Session" - } - elseif ($InstallInPath -eq "u") - { Write-Host " Adding GHDL to PATH at user level." - Add-EnvPath -Path "$InstallPath\bin" -Container "User" - Add-EnvPath -Path "$InstallPath\bin" -Container "Session" - } - elseif ($InstallInPath -eq "s") - { Write-Host " Adding GHDL to PATH at session level." - Add-EnvPath -Path "$InstallPath\bin" -Container "Session" - } - } - - Write-Host - Write-Host "Updating files " -NoNewline - Write-Host "[SUCCESSFUL]" -ForegroundColor Green - Write-Host - - Exit-Script -} # Update - -Exit-Script diff --git a/dist/windows/appveyor/build.ps1 b/dist/windows/appveyor/build.ps1 deleted file mode 100644 index 359fb4da7..000000000 --- a/dist/windows/appveyor/build.ps1 +++ /dev/null @@ -1,112 +0,0 @@ -function Restore-NativeCommandStream -{ <# - .SYNOPSIS - This CmdLet gathers multiple ErrorRecord objects and reconstructs outputs - as a single line. - - .DESCRIPTION - This CmdLet collects multiple ErrorRecord objects and emits one String - object per line. - .PARAMETER InputObject - A object stream is required as an input. - .PARAMETER Indent - Indentation string. - #> - [CmdletBinding()] - param( - [Parameter(ValueFromPipeline=$true)] - $InputObject - ) - - begin - { $LineRemainer = "" } - - process - { if ($InputObject -is [System.Management.Automation.ErrorRecord]) - { if ($InputObject.FullyQualifiedErrorId -eq "NativeCommandError") - { Write-Output $InputObject.ToString() } - elseif ($InputObject.FullyQualifiedErrorId -eq "NativeCommandErrorMessage") - { $NewLine = $LineRemainer + $InputObject.ToString() - while (($NewLinePos = $NewLine.IndexOf("`n")) -ne -1) - { Write-Output $NewLine.Substring(0, $NewLinePos) - $NewLine = $NewLine.Substring($NewLinePos + 1) - } - $LineRemainer = $NewLine - } - } - elseif ($InputObject -is [String]) - { Write-Output $InputObject } - else - { Write-Host "Unsupported object in pipeline stream" } - } - - end - { if ($LineRemainer -ne "") - { Write-Output $LineRemainer } - } -} - -#### Environment - -$BUILD_DIRNAME = "$($env:BUILD_MINGW)-$($env:BUILD_BACKEND)" -$GHDL_BUILD_DIR = "$($env:APPVEYOR_BUILD_FOLDER)\build\$BUILD_DIRNAME" - -if ($env:APPVEYOR_REPO_TAG -eq "true") -{ - # There is a tag. Remove the leading v. - $BUILD_VERSION = $($env:APPVEYOR_REPO_TAG_NAME) -creplace "^v", "" -} -else -{ - $BUILD_VERSION = $($env:APPVEYOR_BUILD_VERSION) -} - -$PREFIX_DIRNAME = "$BUILD_VERSION-$BUILD_DIRNAME" - -$GHDL_PREFIX_DIR = "c:/Tools/GHDL/$PREFIX_DIRNAME" -$ZipFile = "ghdl-$PREFIX_DIRNAME.zip" - -$env:GHDL_BUILD_DIR = $GHDL_BUILD_DIR -$env:GHDL_PREFIX_DIR = $GHDL_PREFIX_DIR - -#### Build - -mkdir $GHDL_BUILD_DIR | cd - -if ($env:BUILD_BACKEND -eq "mcode") -{ Write-Host "Configuring GHDL for $($env:BUILD_MINGW), mcode..." -Foreground Yellow - - c:\msys64\usr\bin\bash.exe -c "../../configure --prefix=$GHDL_PREFIX_DIR LDFLAGS=-static" 2>&1 | Restore-NativeCommandStream | %{ "$_" } -} -elseif ($env:BUILD_BACKEND -eq "llvm") -{ Write-Host "Configuring GHDL for $($env:BUILD_MINGW), LLVM..." -Foreground Yellow - - c:\msys64\usr\bin\bash.exe -c "../../configure --prefix=$GHDL_PREFIX_DIR --with-llvm-config='llvm-config --link-static' LDFLAGS='-static' --enable-libghdl --enable-synth CXX=clang++" 2>&1 | Restore-NativeCommandStream | %{ "$_" } -} - -Write-Host "Building GHDL and libraries..." -Foreground Yellow -c:\msys64\usr\bin\make.exe 2>&1 | Restore-NativeCommandStream | %{ "$_" } -$Err = $LastExitCode - -if ($Err -eq 0) -{ - Write-Host "Installing GHDL and libraries..." -Foreground Yellow - c:\msys64\usr\bin\make.exe install 2>&1 | Restore-NativeCommandStream | %{ "$_" } - $Err = $LastExitCode -} - -#### Binaries - -if ($Err -eq 0) -{ - Write-Host "Building binary archives..." -Foreground Yellow - cd c:\Tools - 7z a "$($env:APPVEYOR_BUILD_FOLDER)\$ZipFile" -r "GHDL\$PREFIX_DIRNAME\" - - cd $env:APPVEYOR_BUILD_FOLDER - Push-AppveyorArtifact $ZipFile -} - -cd $env:APPVEYOR_BUILD_FOLDER - -exit $Err diff --git a/dist/windows/appveyor/info.ps1 b/dist/windows/appveyor/info.ps1 deleted file mode 100644 index 675bbe77e..000000000 --- a/dist/windows/appveyor/info.ps1 +++ /dev/null @@ -1,68 +0,0 @@ -function Restore-NativeCommandStream -{ <# - .SYNOPSIS - This CmdLet gathers multiple ErrorRecord objects and reconstructs outputs - as a single line. - - .DESCRIPTION - This CmdLet collects multiple ErrorRecord objects and emits one String - object per line. - .PARAMETER InputObject - A object stream is required as an input. - .PARAMETER Indent - Indentation string. - #> - [CmdletBinding()] - param( - [Parameter(ValueFromPipeline=$true)] - $InputObject - ) - - begin - { $LineRemainer = "" } - - process - { if ($InputObject -is [System.Management.Automation.ErrorRecord]) - { if ($InputObject.FullyQualifiedErrorId -eq "NativeCommandError") - { Write-Output $InputObject.ToString() } - elseif ($InputObject.FullyQualifiedErrorId -eq "NativeCommandErrorMessage") - { $NewLine = $LineRemainer + $InputObject.ToString() - while (($NewLinePos = $NewLine.IndexOf("`n")) -ne -1) - { Write-Output $NewLine.Substring(0, $NewLinePos) - $NewLine = $NewLine.Substring($NewLinePos + 1) - } - $LineRemainer = $NewLine - } - } - elseif ($InputObject -is [String]) - { Write-Output $InputObject } - else - { Write-Host "Unsupported object in pipeline stream" } - } - - end - { if ($LineRemainer -ne "") - { Write-Output $LineRemainer } - } -} - - -Write-Host ("ExecutionPolicy = {0}" -f (Get-ExecutionPolicy)) -Foreground Yellow -Write-Host "List env:..." -Foreground Yellow -dir env: | foreach { Write-Host (" {0}={1}" -f $_.Name,$_.Value) } -Write-Host "Print env:PATH..." -Foreground Yellow -$env:PATH.Split(";") | foreach { Write-Host " $_" } -Write-Host "Print GCC setup..." -Foreground Yellow -gcc.exe -v 2>&1 | Restore-NativeCommandStream | %{ "$_" } -Write-Host "Print GCC search directories..." -Foreground Yellow -gcc.exe -print-search-dirs 2>&1 | Restore-NativeCommandStream | %{ "$_" } - -if ($env:BUILD_BACKEND -eq "llvm") -{ Write-Host "Print CLang setup..." -Foreground Yellow - clang.exe -v 2>&1 | Restore-NativeCommandStream | %{ "$_" } - Write-Host "Print CLang search directories..." -Foreground Yellow - clang.exe -print-search-dirs 2>&1 | Restore-NativeCommandStream | %{ "$_" } -} - -Write-Host "Print gnatls setup..." -Foreground Yellow -gnatls.exe -v 2>&1 | Restore-NativeCommandStream | %{ "$_" } diff --git a/dist/windows/appveyor/install.ps1 b/dist/windows/appveyor/install.ps1 deleted file mode 100644 index bf780fa19..000000000 --- a/dist/windows/appveyor/install.ps1 +++ /dev/null @@ -1,39 +0,0 @@ -Write-Host "Installing dependencies ..." -Foreground Yellow -Write-Host "----------------------------------------" -Foreground Yellow -Write-Host "Installing MinGW64 packages ..." -Foreground Yellow - -C:\msys64\usr\bin\pacman -V -# list installed packages and versions -# C:\msys64\usr\bin\pacman -Q - -if ($env:BUILD_MINGW -eq "mingw32") -{ Write-Host "Installing MinGW32 packages ..." -Foreground Yellow - if ($env:BUILD_BACKEND -eq "mcode") - { - } - elseif ($env:BUILD_BACKEND -eq "llvm") - { C:\msys64\usr\bin\pacman -S mingw-w64-i686-llvm mingw-w64-i686-clang --noconfirm - } -} -elseif ($env:BUILD_MINGW -eq "mingw64") -{ Write-Host "Installing MinGW64 packages ..." -Foreground Yellow - if ($env:BUILD_BACKEND -eq "mcode") - { - } - elseif ($env:BUILD_BACKEND -eq "llvm") - { C:\msys64\usr\bin\pacman -S mingw-w64-x86_64-llvm mingw-w64-x86_64-clang --noconfirm - } -} - -Write-Host "Installing NuGet as PackageProvider ..." -Foreground Yellow -Install-PackageProvider NuGet -Force -Import-PackageProvider NuGet -Force -Set-PSRepository -Name PSGallery -InstallationPolicy Trusted - -Write-Host "Installing PowerShell modules ..." -Foreground Yellow -Install-Module Pscx -AllowClobber - -#Write-Host "Check all Write-* CmdLets ..." -Foreground Yellow -#Get-Command -Verb Write | Format-Table - -exit $LastExitCode diff --git a/dist/windows/appveyor/shared.psm1 b/dist/windows/appveyor/shared.psm1 deleted file mode 100644 index 3335182ff..000000000 --- a/dist/windows/appveyor/shared.psm1 +++ /dev/null @@ -1,49 +0,0 @@ -function Restore-NativeCommandStream -{ <# - .SYNOPSIS - This CmdLet gathers multiple ErrorRecord objects and reconstructs outputs - as a single line. - - .DESCRIPTION - This CmdLet collects multiple ErrorRecord objects and emits one String - object per line. - .PARAMETER InputObject - A object stream is required as an input. - .PARAMETER Indent - Indentation string. - #> - [CmdletBinding()] - param( - [Parameter(ValueFromPipeline=$true)] - $InputObject - ) - - begin - { $LineRemainer = "" } - - process - { if ($InputObject -is [System.Management.Automation.ErrorRecord]) - { if ($InputObject.FullyQualifiedErrorId -eq "NativeCommandError") - { Write-Output $InputObject.ToString() } - elseif ($InputObject.FullyQualifiedErrorId -eq "NativeCommandErrorMessage") - { $NewLine = $LineRemainer + $InputObject.ToString() - while (($NewLinePos = $NewLine.IndexOf("`n")) -ne -1) - { Write-Output $NewLine.Substring(0, $NewLinePos) - $NewLine = $NewLine.Substring($NewLinePos + 1) - } - $LineRemainer = $NewLine - } - } - elseif ($InputObject -is [String]) - { Write-Output $InputObject } - else - { Write-Host "Unsupported object in pipeline stream" } - } - - end - { if ($LineRemainer -ne "") - { Write-Output $LineRemainer } - } -} - -Export-ModuleMember -Function 'Restore-NativeCommandStream' diff --git a/dist/windows/appveyor/test.ps1 b/dist/windows/appveyor/test.ps1 deleted file mode 100644 index 65045f889..000000000 --- a/dist/windows/appveyor/test.ps1 +++ /dev/null @@ -1,121 +0,0 @@ -function Restore-NativeCommandStream -{ <# - .SYNOPSIS - This CmdLet gathers multiple ErrorRecord objects and reconstructs outputs - as a single line. - - .DESCRIPTION - This CmdLet collects multiple ErrorRecord objects and emits one String - object per line. - .PARAMETER InputObject - A object stream is required as an input. - .PARAMETER Indent - Indentation string. - #> - [CmdletBinding()] - param( - [Parameter(ValueFromPipeline=$true)] - $InputObject - ) - - begin - { $LineRemainer = "" } - - process - { if ($InputObject -is [System.Management.Automation.ErrorRecord]) - { if ($InputObject.FullyQualifiedErrorId -eq "NativeCommandError") - { Write-Output $InputObject.ToString() } - elseif ($InputObject.FullyQualifiedErrorId -eq "NativeCommandErrorMessage") - { $NewLine = $LineRemainer + $InputObject.ToString() - while (($NewLinePos = $NewLine.IndexOf("`n")) -ne -1) - { Write-Output $NewLine.Substring(0, $NewLinePos) - $NewLine = $NewLine.Substring($NewLinePos + 1) - } - $LineRemainer = $NewLine - } - } - elseif ($InputObject -is [String]) - { Write-Output $InputObject } - else - { Write-Host "Unsupported object in pipeline stream" } - } - - end - { if ($LineRemainer -ne "") - { Write-Output $LineRemainer } - } -} - -Write-Host "Run testsuites..." -Foreground Yellow -cd "$($env:APPVEYOR_BUILD_FOLDER)\testsuite" -# Use a MinGW compatible path -$env:GHDL="$($env:GHDL_PREFIX_DIR)/bin/ghdl.exe" - -# Exit status -$Err = 0 - -# ============================================================================= -$TestFramework = "GNA" -Write-Host "Running GNA tests..." -Foreground Yellow -cd gna - -$Directories = dir -Directory * -foreach ($Directory in $Directories) -{ $TestName = "GNA test: {0}" -f $Directory.Name - $FileName = $Directory.Name - - Write-Host $TestName -Foreground Yellow - cd $Directory - Add-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Running - $start = Get-Date - c:\msys64\usr\bin\bash.exe -c "./testsuite.sh" 2>&1 | Restore-NativeCommandStream | %{ "$_" } - $end = Get-Date - $TotalMilliseconds = ($end - $start).TotalMilliseconds - if ($LastExitCode -eq 0) - { Write-Host "PASSED" -Foreground Green - Update-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Passed -Duration $TotalMilliseconds - } - else - { Write-Host "FAILED" -Foreground Red - Update-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Failed -Duration $TotalMilliseconds - $Err = 1 - } -} -cd ..\.. - -# ============================================================================= -$TestFramework = "VESTS" -Write-Host "Running VESTS tests..." -Foreground Yellow - -cd vests - -$TestName = "VESTS test:" # {0}" -f $Directory -$FileName = "VESTS" #$Directory - -Write-Host $TestName -Foreground Yellow -# Disable vests. It works but takes ~20 min -if ($true) -{ Add-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Skipped - $start = Get-Date -} -else -{ Add-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Running - $start = Get-Date - c:\msys64\usr\bin\bash.exe -c "./testsuite.sh" 2>&1 | Restore-NativeCommandStream | %{ "$_" } - $end = Get-Date - $TotalMilliseconds = ($end - $start).TotalMilliseconds - if ($LastExitCode -eq 0) - { Write-Host "PASSED" -Foreground Green - Update-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Passed -Duration $TotalMilliseconds - } - else - { Write-Host "FAILED" -Foreground Red - Update-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Failed -Duration $TotalMilliseconds - $Err = 1 - } - cd .. -} - -# ============================================================================= -cd $env:APPVEYOR_BUILD_FOLDER -exit $Err diff --git a/dist/windows/compile-ghdl.ps1 b/dist/windows/compile-ghdl.ps1 deleted file mode 100644 index 0f126e846..000000000 --- a/dist/windows/compile-ghdl.ps1 +++ /dev/null @@ -1,243 +0,0 @@ -# EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -# vim: tabstop=2:shiftwidth=2:noexpandtab -# kate: tab-width 2; replace-tabs off; indent-width 2; -# -# ============================================================================== -# Authors: Patrick Lehmann (ported batch file to PowerShell) -# Brian Davis (contributions to the batch file) -# Tristan Gingold (initial batch file for compilations on Windows) -# -# PowerShell Script: Script to compile GHDL for Windows -# -# Description: -# ------------------------------------ -# This is a PowerShell script (executable) which: -# - sets up a compilation environment -# - test all dependencies -# - compiles GHDL with GNAT -# -# ============================================================================== -# Copyright (C) 2002, 2003, 2004, 2005 Tristan Gingold -# Copyright (C) 2015-2017 Patrick Lehmann -# -# GHDL is free software; you can redistribute it and/or modify it under -# the terms of the GNU General Public License as published by the Free -# Software Foundation; either version 2, or (at your option) any later -# version. -# -# GHDL is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or -# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -# for more details. -# -# You should have received a copy of the GNU General Public License -# along with GHDL; see the file COPYING. If not, write to the Free -# Software Foundation, 59 Temple Place - Suite 330, Boston, MA -# 02111-1307, USA. -# ============================================================================== - -# .SYNOPSIS -# GHDL for Windows - GHDL compile script -# Use 'compile-ghdl.ps1 -Help' to see the integrated help page -# -# .EXAMPLE -# C:\PS> .\compile-ghdl.ps1 -Clean -Compile -# -[CmdletBinding()] -param( - # Display this help" - [switch]$Help = $false, - - # Slean up all files and directories - [switch]$Clean = $false, - [switch]$Clean_GHDL = $false, - - # Compile all targets - [switch]$All = $false, - - # Compile main targets - [switch]$Compile = $false, - # Compile GHDL (simulator) - [switch]$Compile_GHDL = $false, - # Undocumented - [switch]$Test = $false, - # Undocumented - [switch]$Test_GHDL = $false, - - # Build options - # Build a release version - [switch]$Release = $false, - # Set the back-end - [string]$Backend = "mcode", - - # Reduced messages - [switch]$Quiet = $false, - # Skip warning messages. (Show errors only.) - [switch]$SuppressWarnings = $false, - # Halt on errors - [switch]$HaltOnError = $false, - # Undocumented - [switch]$Hosted = $false -) - -# configure script here -$RelPathToRoot = "..\.." - -# --------------------------------------------- -# save parameters and working directory -$Script_ScriptDir = $PSScriptRoot -$Script_WorkingDir = Get-Location -$GHDLRootDir = Convert-Path (Resolve-Path ($PSScriptRoot + "\" + $RelPathToRoot)) - -# set default values -$EnableDebug = [bool]$PSCmdlet.MyInvocation.BoundParameters["Debug"] -$EnableVerbose = [bool]$PSCmdlet.MyInvocation.BoundParameters["Verbose"] -or $EnableDebug - -# load modules from GHDL's 'libraries' directory -Import-Module $PSScriptRoot\shared.psm1 -Verbose:$false -Debug:$false -ArgumentList "$Script_WorkingDir", $Hosted -Import-Module $PSScriptRoot\targets.psm1 -Verbose:$false -Debug:$false - -# Display help if no command was selected -$Help = $Help -or (-not ( - $All -or - $Clean -or $Clean_GHDL -or - $Compile -or $Compile_GHDL -or - $Test -or $Test_GHDL - )) - -if (-not $Hosted) -{ Write-Host "================================================================================" -ForegroundColor Magenta - Write-Host "GHDL for Windows - GHDL compile script" -ForegroundColor Magenta - Write-Host "================================================================================" -ForegroundColor Magenta -} - -if ($Help) -{ Get-Help $MYINVOCATION.InvocationName -Detailed - Exit-CompileScript -} - -if ($Clean) -{ $Clean_GHDL = $true -} -if ($All) -{ $Compile = $true -} -if ($Compile) -{ $Compile_GHDL = $true -} -if ($Test) -{ $Test_GHDL = $true -} - -# configure some variables: paths, executables, directory names, ... -$BuildDirectoryName = "build" - -# Parameter checks -if ($Backend -ne "mcode") -{ Write-Host "[ERROR]: Back-end '$Backend' is not supported on Windows." -ForegroundColor Red - Exit-CompileScript -1 -} - -# construct directories -$BinaryDestinationDirectory = "$GHDLRootDir\$BuildDirectoryName\$Backend" -# construct executables -$GHDLNewExecutable = "$GHDLRootDir\$BuildDirectoryName\$Backend\bin\ghdl.exe" - -# grep GHDL version string from Ada source file -$GHDLVersion = Get-GHDLVersion $GHDLRootDir -# compute some variables -$BuildRelease = if ($Release) { "Release" } else { "Development" } -if (-not $Hosted) -{ Write-Host " Version: $GHDLVersion" - Write-Host " Release: $BuildRelease" -} - -$Git_IsGitRepo = Test-GitRepository -# gather git information -if ($Git_IsGitRepo) -{ $Git_Branch_Name = & git rev-parse --abbrev-ref HEAD - $Git_Commit_DateString = & git log -1 --format=%cd --date=short - $Git_Commit_ShortHash = & git rev-parse --short HEAD - - if (-not $Hosted) - { Write-Host " Git branch: $Git_Branch_Name" - Write-Host " Git commit: $Git_Commit_DataString ($Git_Commit_ShortHash)" - } -} -if (-not $Hosted) -{ Write-Host "" } - -if ($Release) -{ $BuildDirectory = $BinaryDestinationDirectory } -else -{ $BuildDirectory = $BinaryDestinationDirectory } - - -# ============================================================================== -# Main Target: Clean -# ============================================================================== -if ($Clean_GHDL) -{ $error = Invoke-Clean $BuildDirectory -Quiet:$Quiet -Verbose:$EnableVerbose -Debug:$EnableDebug - if ($error -eq $true) - { Write-Host " [FAILED]" -ForegroundColor Red - Exit-CompileScript -1 - } -} # Clean - - -# ============================================================================== -# Main Target: GHDL -# ============================================================================== -if ($Compile_GHDL) -{ # create a build directory - $error = New-BuildDirectory $BuildDirectory - if ($error -eq $true) - { Write-Host " [FAILED]" -ForegroundColor Red - Exit-CompileScript -1 - } - - # patch the version file if it's no release build - if (-not $Release -and $Git_IsGitRepo) - { $error = Invoke-PatchVersionFile $GHDLRootDir $Git_Branch_Name $Git_Commit_DateString $Git_Commit_ShortHash - if ($error -eq $true) - { Write-Host " [FAILED]" -ForegroundColor Red - Exit-CompileScript -1 - } - } - - # build C source files - $error = Invoke-CompileCFiles $GHDLRootDir $BinaryDestinationDirectory - if ($error -eq $true) - { Write-Host " [FAILED]" -ForegroundColor Red - Exit-CompileScript -1 - } - - # build Ada source files - $error = Invoke-CompileGHDLAdaFiles $GHDLRootDir $BinaryDestinationDirectory - if ($error -eq $true) - { Write-Host " [FAILED]" -ForegroundColor Red - Exit-CompileScript -1 - } - - # strip result - $error = Invoke-StripGHDLExecutable $BinaryDestinationDirectory - if ($error -eq $true) - { Write-Host " [FAILED]" -ForegroundColor Red - Exit-CompileScript -1 - } -} - - -# ============================================================================== -# Main Target: GHDL -# ============================================================================== -if ($Test_GHDL) -{ # running ghdl - $error = Test-GHDLVersion $BuildDir - if ($error -eq $true) - { Write-Host " [FAILED]" -ForegroundColor Red - Exit-CompileScript -1 - } -} # Test - -Exit-CompileScript 0 diff --git a/dist/windows/compile-libraries.ps1 b/dist/windows/compile-libraries.ps1 deleted file mode 100644 index a37b78618..000000000 --- a/dist/windows/compile-libraries.ps1 +++ /dev/null @@ -1,862 +0,0 @@ -# EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -# vim: tabstop=2:shiftwidth=2:noexpandtab -# kate: tab-width 2; replace-tabs off; indent-width 2; -# -# ============================================================================== -# Authors: Patrick Lehmann (ported batch file to PowerShell) -# Brian Davis (contributions to the batch file) -# Tristan Gingold (initial batch file for compilations on Windows) -# -# PowerShell Script: Script to compile VHDL libraries for GHDL -# -# Description: -# ------------------------------------ -# This is a PowerShell script (executable) which: -# - sets up a compilation environment -# - test all dependencies -# - pre processes VHDL files with GHDLFilter -# - analyses VHDL files with GHDL -# -# ============================================================================== -# Copyright (C) 2002, 2003, 2004, 2005 Tristan Gingold -# Copyright (C) 2015-2017 Patrick Lehmann -# -# GHDL is free software; you can redistribute it and/or modify it under -# the terms of the GNU General Public License as published by the Free -# Software Foundation; either version 2, or (at your option) any later -# version. -# -# GHDL is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or -# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -# for more details. -# -# You should have received a copy of the GNU General Public License -# along with GHDL; see the file COPYING. If not, write to the Free -# Software Foundation, 59 Temple Place - Suite 330, Boston, MA -# 02111-1307, USA. -# ============================================================================== - -# .SYNOPSIS -# GHDL for Windows - Library compile script -# Use 'compile-libraries.ps1 -Help' to see the integrated help page -# -# .EXAMPLE -# C:\PS> .\compile-libraries.ps1 -Clean -# .EXAMPLE -# C:\PS> .\compile-libraries.ps1 -Compile -Verbose -# .EXAMPLE -# C:\PS> .\compile-libraries.ps1 -VHDL2008 -SuppressWarnings -# -[CmdletBinding()] -param( - # Display this help" - [switch]$Help = $false, - - # Clean up all files and directories - [switch]$Clean = $false, - - # Compile all library files - [switch]$Compile = $false, - - # Set VHDL Standard to '87 - [switch]$VHDL87 = $false, - # Set VHDL Standard to '93 - [switch]$VHDL93 = $false, - # Set VHDL Standard to '08 - [switch]$VHDL2008 = $false, - - # Skip warning messages. (Show errors only.) - [switch]$SuppressWarnings = $false, - # Halt on errors - [switch]$HaltOnError = $false, - - # Set GHDL executable - [string]$GHDL = "", - # Undocumented - [switch]$Hosted = $false -) - -# configure script here -$RelPathToRoot = "..\.." - -# --------------------------------------------- -# save parameters and working directory -$Script_ScriptDir = $PSScriptRoot -$Script_WorkingDir = Get-Location -$GHDLRootDir = Convert-Path (Resolve-Path ($PSScriptRoot + "\" + $RelPathToRoot)) - -# set default values -$EnableDebug = [bool]$PSCmdlet.MyInvocation.BoundParameters["Debug"] -$EnableVerbose = [bool]$PSCmdlet.MyInvocation.BoundParameters["Verbose"] -or $EnableDebug - -# load modules from GHDL's 'libraries' directory -Import-Module $PSScriptRoot\shared.psm1 -Verbose:$false -Debug:$false -ArgumentList "$Script_WorkingDir", $Hosted - -# Display help if no command was selected -$Help = $Help -or (-not ($Compile -or $VHDL87 -or $VHDL93 -or $VHDL2008 -or $Clean)) - -if ($Help) -{ Get-Help $MYINVOCATION.InvocationName -Detailed - Exit-CompileScript -} -if ($Compile) -{ $VHDL87 = $true - $VHDL93 = $true - $VHDL2008 = $true -} - -# configure some variables: paths, executables, directory names, ... -$VHDLLibrariesSourceDirectoryName = "libraries" -$VHDLLibrariesDestinationDirectoryName = "lib" -$BuildDirectoryName = "build" -$Backend = "mcode" - -# construct directories -$VHDLSourceLibraryDirectory = "$GHDLRootDir\$VHDLLibrariesSourceDirectoryName" -$VHDLDestinationLibraryDirectory = "$GHDLRootDir\$BuildDirectoryName\$Backend\$VHDLLibrariesDestinationDirectoryName" -# construct executables -$GHDLNewExecutable = "$GHDLRootDir\$BuildDirectoryName\$Backend\bin\ghdl.exe" - - -# Library sources -$SourceFiles = @{ - "std" = @( - "textio", "textio-body" - ); - "ieee" = @( - "std_logic_1164", "std_logic_1164-body", - "numeric_std", "numeric_std-body", - "numeric_bit", "numeric_bit-body" - ); - "math" = @( - "math_real", "math_real-body", - "math_complex", "math_complex-body" - ); - "std08" = @( - "textio", "textio-body", - "env", "env-body" - ); - "ieee2008" = @( - "std_logic_1164", "std_logic_1164-body", - "std_logic_textio", - "math_real", "math_real-body", - "math_complex", "math_complex-body", - "numeric_bit", "numeric_bit-body", - "numeric_bit_unsigned", "numeric_bit_unsigned-body", - "numeric_std", "numeric_std-body", - "numeric_std_unsigned", "numeric_std_unsigned-body", - "fixed_float_types", - "fixed_generic_pkg", "fixed_generic_pkg-body", - "fixed_pkg", - "float_generic_pkg", "float_generic_pkg-body", - "float_pkg", - "ieee_std_context", - "ieee_bit_context" - ); - "vital95" = @( - "vital_timing", "vital_timing-body", - "vital_primitives", "vital_primitives-body" - ); - "vital2000" = @( - "timing_p", "timing_b", - "prmtvs_p", "prmtvs_b", - "memory_p", "memory_b" - ); - "synopsys" = @( - "std_logic_arith", - "std_logic_unsigned", - "std_logic_signed" - ); - "synopsys8793" = @( - "std_logic_textio", - "std_logic_misc", "std_logic_misc-body" - ); - "mentor" = @( - "std_logic_arith", "std_logic_arith-body" - ) -} - -if (-not $Hosted) -{ Write-Host "================================================================================" -ForegroundColor Yellow - Write-Host "GHDL ($Backend) for Windows - Library compile script" -ForegroundColor Yellow - Write-Host "================================================================================" -ForegroundColor Yellow -} - -if ($Clean) -{ Write-Host "Removing all created files and directories..." -ForegroundColor Yellow - if (Test-Path -Path $VHDLDestinationLibraryDirectory) - { $EnableVerbose -and (Write-Host " rmdir $VHDLDestinationLibraryDirectory") | Out-Null - Remove-Item $VHDLDestinationLibraryDirectory -Force -Recurse -ErrorAction SilentlyContinue - if ($? -eq $false) - { Write-Host "[ERROR]: Cannot remove '$VHDLDestinationLibraryDirectory'." -ForegroundColor Red - Exit-CompileScript -1 - } - } - if (-not ($VHDL87 -or $VHDL93 -or $VHDL2008)) - { Exit-CompileScript } -} - -# get GHDL executable -if ($GHDL -ne "") -{ $GHDLExecutable = $GHDL } -elseif (Test-Path env:GHDL) -{ $GHDLExecutable = $env:GHDL } -elseif (Test-Path $GHDLNewExecutable -PathType Leaf) -{ $GHDLExecutable = $GHDLNewExecutable } -else -{ $GHDLExecutable = "ghdl.exe" } - -if (-not (Test-Path $GHDLExecutable -PathType Leaf)) -{ Write-Host "GHDL executable 'ghdl.exe' not found." -ForegroundColor Red - Write-Host "Use adv. options '-GHDL' to set the GHDL executable." -ForegroundColor Yellow - Exit-CompileScript -1 -} - - -$ErrorCount = 0 -if ($VHDL87 -or $VHDL93 -or $VHDL2008) -{ Write-Host "Compiling VHDL Libraries..." - Write-Host "Preparing..." - - # create lib directory if it does not exist - if (Test-Path -Path $VHDLDestinationLibraryDirectory) - { $EnableVerbose -and (Write-Host " Directory '$VHDLDestinationLibraryDirectory' already exists.") | Out-Null - - # change working directory to VHDLDestinationLibraryDirectory - $EnableVerbose -and (Write-Host " cd $VHDLDestinationLibraryDirectory") | Out-Null - Set-Location $VHDLDestinationLibraryDirectory - - $EnableVerbose -and (Write-Host " Cleaning up directory...") | Out-Null - Remove-Item ./* -Force -Recurse -ErrorAction SilentlyContinue - } - else - { $EnableVerbose -and (Write-Host " Creating directory '$VHDLDestinationLibraryDirectory'.") | Out-Null - New-Item -ItemType Directory -Path $VHDLDestinationLibraryDirectory -ErrorAction SilentlyContinue | Out-Null - if (-not $?) - { Write-Host "[ERROR]: Cannot create destination directory '$VHDLDestinationLibraryDirectory'." -ForegroundColor Red - Exit-CompileScript -1 - } - - # change working directory to VHDLDestinationLibraryDirectory - $EnableVerbose -and (Write-Host " Change working directory to $VHDLDestinationLibraryDirectory") | Out-Null - Set-Location $VHDLDestinationLibraryDirectory - } - - Write-Host - Write-Host "Start compilation..." -} -# ============================================================================ -# v87 -# ============================================================================ -if ($VHDL87) -{ $VHDLVersion = "87" - Write-Host "Compiling libraries for VHDL-$VHDLVersion" -ForegroundColor Cyan - - # ---------------------------------------------------------------------- - # v87\std - # ---------------------------------------------------------------------- - $VHDLLibrary = "std" - Write-Host " Compiling library '$VHDLLibrary'..." -ForegroundColor DarkCyan - - $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLLibrary\v$VHDLVersion" - New-LibraryDirectory $LibraryDirectory # $EnableVerbose - Set-Location $LibraryDirectory - - $VHDLSourcesIndex = "std" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C --bootstrap --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - # ---------------------------------------------------------------------- - # v87\ieee - # ---------------------------------------------------------------------- - $VHDLLibrary = "ieee" - $VHDLFlavor = "ieee" - Write-Host " Compiling library '$VHDLLibrary'..." -ForegroundColor DarkCyan - - $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" - New-LibraryDirectory $LibraryDirectory # $EnableVerbose - Set-Location $LibraryDirectory - - $VHDLSourcesIndex = "ieee" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - # ---------------------------------------------------------------------- - # v87\synopsys - # ---------------------------------------------------------------------- - $VHDLLibrary = "ieee" - $VHDLFlavor = "synopsys" - Write-Host " Compiling library '$VHDLLibrary' ($VHDLFlavor)..." -ForegroundColor DarkCyan - - $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" - New-LibraryDirectory $LibraryDirectory # $EnableVerbose - Set-Location $LibraryDirectory - - $VHDLSourcesIndex = "ieee" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - foreach ($SourceFile in $SourceFiles[$VHDLFlavor] + $SourceFiles["synopsys8793"]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - Get-Content "$VHDLSourceLibraryDirectory\$VHDLFlavor\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - $VHDLSourcesIndex = "vital95" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } -} -# ============================================================================ -# v93 -# ============================================================================ -if ($VHDL93) -{ $VHDLVersion = "93" - Write-Host "Compiling libraries for VHDL-$VHDLVersion" -ForegroundColor Cyan - - # ---------------------------------------------------------------------- - # v93\std - # ---------------------------------------------------------------------- - $VHDLLibrary = "std" - Write-Host " Compiling library '$VHDLLibrary'..." -ForegroundColor DarkCyan - - $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLLibrary\v$VHDLVersion" - New-LibraryDirectory $LibraryDirectory # $EnableVerbose - Set-Location $LibraryDirectory - - $VHDLSourcesIndex = "std" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C --bootstrap --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - # ---------------------------------------------------------------------- - # v93\ieee - # ---------------------------------------------------------------------- - $VHDLLibrary = "ieee" - $VHDLFlavor = "ieee" - Write-Host " Compiling library '$VHDLLibrary'..." -ForegroundColor DarkCyan - - $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" - New-LibraryDirectory $LibraryDirectory # $EnableVerbose - Set-Location $LibraryDirectory - - $VHDLSourcesIndex = "ieee" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex] + $SourceFiles["math"]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - $VHDLSourcesIndex = "vital2000" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - # ---------------------------------------------------------------------- - # v93\synopsys - # ---------------------------------------------------------------------- - $VHDLLibrary = "ieee" - $VHDLFlavor = "synopsys" - Write-Host " Compiling library '$VHDLLibrary' ($VHDLFlavor)..." -ForegroundColor DarkCyan - - $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" - New-LibraryDirectory $LibraryDirectory # $EnableVerbose - Set-Location $LibraryDirectory - - $VHDLSourcesIndex = "ieee" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex] + $SourceFiles["math"]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - foreach ($SourceFile in $SourceFiles[$VHDLFlavor] + $SourceFiles["synopsys8793"]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLFlavor\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - $VHDLSourcesIndex = "vital2000" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - # ---------------------------------------------------------------------- - # v93\mentor - # ---------------------------------------------------------------------- - $VHDLLibrary = "ieee" - $VHDLFlavor = "mentor" - Write-Host " Compiling library '$VHDLLibrary' ($VHDLFlavor)..." -ForegroundColor DarkCyan - - $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" - New-LibraryDirectory $LibraryDirectory # $EnableVerbose - Set-Location $LibraryDirectory - - $VHDLSourcesIndex = "ieee" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex] + $SourceFiles["math"]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - foreach ($SourceFile in $SourceFiles[$VHDLFlavor]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLFlavor\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - $VHDLSourcesIndex = "vital2000" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } -} -# ============================================================================== -# v08 -# ============================================================================== -if ($VHDL2008) -{ $VHDLVersion = "08" - Write-Host "Compiling libraries for VHDL-$VHDLVersion" -ForegroundColor Cyan - - # ---------------------------------------------------------------------- - # v08\std - # ---------------------------------------------------------------------- - $VHDLLibrary = "std" - Write-Host " Compiling library '$VHDLLibrary'..." -ForegroundColor DarkCyan - - $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLLibrary\v$VHDLVersion" - New-LibraryDirectory $LibraryDirectory # $EnableVerbose - Set-Location $LibraryDirectory - - $VHDLSourcesIndex = "std08" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLLibrary\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C --bootstrap --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - # ---------------------------------------------------------------------- - # v08\ieee - # ---------------------------------------------------------------------- - $VHDLLibrary = "ieee" - $VHDLFlavor = "ieee" - Write-Host " Compiling library '$VHDLLibrary'..." -ForegroundColor DarkCyan - - $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" - New-LibraryDirectory $LibraryDirectory # $EnableVerbose - Set-Location $LibraryDirectory - - $VHDLSourcesIndex = "ieee2008" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - $VHDLSourcesIndex = "vital2000" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" -frelaxed-rules --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - # ---------------------------------------------------------------------- - # v08\synopsys - # ---------------------------------------------------------------------- - $VHDLLibrary = "ieee" - $VHDLFlavor = "synopsys" - Write-Host " Compiling library '$VHDLLibrary' ($VHDLFlavor)..." -ForegroundColor DarkCyan - - $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" - New-LibraryDirectory $LibraryDirectory # $EnableVerbose - Set-Location $LibraryDirectory - - $VHDLSourcesIndex = "ieee2008" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - foreach ($SourceFile in $SourceFiles[$VHDLFlavor]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLFlavor\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - - $VHDLSourcesIndex = "vital2000" - foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) - { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" - $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null - $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null - $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null - # Patch file - Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` - | Format-VHDLSourceFile -Version "$VHDLVersion" ` - | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii - - # Analyze file - $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" -frelaxed-rules --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" - $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null - $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " - if (($LastExitCode -ne 0) -or -not $?) - { $ErrorCount += 1 - if ($HaltOnError) - { Exit-CompileScript -1 } - } - } - -} # $VHDL2008 - - -Write-Host "--------------------------------------------------------------------------------" -Write-Host "Compiling VHDL libraries " -NoNewline -if ($ErrorCount -gt 0) -{ Write-Host "[FAILED]" -ForegroundColor Red } -else -{ Write-Host "[SUCCESSFUL]" -ForegroundColor Green } - -Exit-CompileScript diff --git a/dist/windows/compile.ps1 b/dist/windows/compile.ps1 deleted file mode 100644 index da3c67731..000000000 --- a/dist/windows/compile.ps1 +++ /dev/null @@ -1,765 +0,0 @@ -# EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -# vim: tabstop=2:shiftwidth=2:noexpandtab -# kate: tab-width 2; replace-tabs off; indent-width 2; -# -# ============================================================================== -# Authors: Patrick Lehmann (ported batch file to PowerShell) -# Brian Davis (contributions to the batch file) -# Tristan Gingold (initial batch file for compilations on Windows) -# -# PowerShell Script: Script to compile GHDL for Windows -# -# Description: -# ------------------------------------ -# This is a PowerShell script (executable) which: -# - compiles GHDL and GHDLFilter -# - analyses VHDL libraries -# - installs GHDL into a directory (xcopy deploiment) -# -# ============================================================================== -# Copyright (C) 2002, 2003, 2004, 2005 Tristan Gingold -# Copyright (C) 2015-2017 Patrick Lehmann -# -# GHDL is free software; you can redistribute it and/or modify it under -# the terms of the GNU General Public License as published by the Free -# Software Foundation; either version 2, or (at your option) any later -# version. -# -# GHDL is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or -# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -# for more details. -# -# You should have received a copy of the GNU General Public License -# along with GHDL; see the file COPYING. If not, write to the Free -# Software Foundation, 59 Temple Place - Suite 330, Boston, MA -# 02111-1307, USA. -# ============================================================================== - -# .SYNOPSIS -# GHDL for Windows - GHDL compile script -# Use 'compile.ps1 -Help' to see the integrated help page -# -# .EXAMPLE -# # -# # Normal flow -# PS> .\compile.ps1 -Clean -# PS> .\compile.ps1 -Compile -# PS> .\compile.ps1 -Install -# -# # Combine all commands in a single call -# PS>.\compile.ps1 -Clean -Compile -Install "C:\Tools\GHDL" -# -# # Install to user defined dir -# PS> .\compile.ps1 -Install "C:\Tools\GHDL" -# -# # Update or Uninstall -# PS> .\compile.ps1 -Update -# PS> .\compile.ps1 -Uninstall -# -# # Create a Zip-file -# PS>.\compile.ps1 -Package -Zip -# -[CmdletBinding()] -Param( - # Clean up all files and directories - [switch]$Clean, - [switch]$Clean_GHDL, - [switch]$Clean_Libraries, - - # Compile GHDL - [switch]$Compile, - [switch]$Compile_GHDL, - [switch]$Compile_Libraries, - - # Create an installer package - [switch]$Package, - # Creates a zip-file for xcopy deployment - [switch]$Zip, - # Creates a self-extracting ps1-file for xcopy deployment - [switch]$PS1, - - # Install all files into a directory (xcopy deployment) - [switch]$Install = $false, - [parameter(mandatory=$false, ValueFromRemainingArguments=$true)] - [string]$InstallDir = "", - # Update files - [switch]$Update, - # Uninstall all files from a directory - [switch]$Uninstall, - - # register GHDL in PATH - [Parameter(Mandatory=$false)] - [ValidateSet("Machine", "User", "Session", "Remove", "Pass")] - [String]$AddToPath = "", - - # Display this help" - [switch]$Help -) - -# configure script here -$RelPathToRoot = "..\.." - -# save parameters and current working directory -$Script_ScriptDir = $PSScriptRoot -$Script_WorkingDir = Get-Location -$GHDLRootDir = Convert-Path (Resolve-Path ($PSScriptRoot + "\" + $RelPathToRoot)) - -# set default values -$Hosting = $true -$EnableDebug = [bool]$PSCmdlet.MyInvocation.BoundParameters["Debug"] -$EnableVerbose = [bool]$PSCmdlet.MyInvocation.BoundParameters["Verbose"] -or $EnableDebug - -# load modules from GHDL's 'libraries' directory -Import-Module $PSScriptRoot\shared.psm1 -Verbose:$false -Debug:$false -ArgumentList "$Script_WorkingDir", $Hosting -Import-Module $PSScriptRoot\targets.psm1 -Verbose:$false -Debug:$false - -# Display help if no command was selected -$Help = $Help -or (-not ( - $All -or - $Clean -or $Clean_GHDL -or $Clean_Libraries -or $Clean_Package_Zip -or - $Compile -or $Compile_GHDL -or $Compile_Libraries -or - $Package -or - $Install -or $Update -or $Uninstall - )) - -Write-Host "================================================================================" -ForegroundColor Magenta -Write-Host "GHDL for Windows - GHDL compile and bundle script" -ForegroundColor Magenta -Write-Host "================================================================================" -ForegroundColor Magenta - -if ($Help) -{ Get-Help $MYINVOCATION.InvocationName -Detailed - Exit-CompileScript -} - -if ($All) -{ $Clean = $true - $Compile = $true - $Package = $true -} -if ($Clean) -{ $Clean_GHDL = $true - $Clean_Libraries = $true - $Clean_Package_Zip = $true -} -if ($Compile) -{ $Compile_GHDL = $true - $Compile_Libraries = $true -} - -# configure some variables: paths, executables, directory names, ... -$GHDLVersion = Get-GHDLVersion $GHDLRootDir -$Backend = "mcode" -$WindowsDirName = "dist\windows" #\$Backend" -$BuildDirectoryName = "build" -$BuildBackendDirectoryName = "$BuildDirectoryName\$Backend" -$VHDLLibrariesDirectoryName = "lib" -$PackageDirectoryName = "build\zip\$Backend" -$ZipPackageFileName = "ghdl-$Backend-$GHDLVersion.zip" -$PS1PackageFileName = "ghdl-$Backend-$GHDLVersion.installer.ps1" -$InstallerTemplateFileName = "InstallerTemplate.ps1" -$DefaultInstallPath = "C:\Program Files (x86)\GHDL" # This is the default path for 32-bit applications (x86-32) - -# construct directories -$GHDLWindowsDir = "$GHDLRootDir\$WindowsDirName" -$GHDLBuildDir = "$GHDLRootDir\$BuildBackendDirectoryName" -$GHDLVendorLibraryDir = "$GHDLRootDir\libraries\vendors" -$GHDLCompiledLibraryDir = "$GHDLRootDir\$BuildBackendDirectoryName\$VHDLLibrariesDirectoryName" -$GHDLZipPackageDir = "$GHDLRootDir\$PackageDirectoryName" -$GHDLZipPackageFile = "$GHDLZipPackageDir\$ZipPackageFileName" -$InstallerTemplateFile = "$GHDLWindowsDir\$InstallerTemplateFileName" -$GHDLPS1PackageFile = "$GHDLZipPackageDir\$PS1PackageFileName" - -# construct files -$InstallDirFile = "$BuildDirectoryName\InstallDir.conf" - -$EnvPath_ContainerMapping = @{ - Machine = [EnvironmentVariableTarget]::Machine - User = [EnvironmentVariableTarget]::User -} - -function Exit-Script -{ [CmdletBinding()] - param( - [int]$ExitCode = 0 - ) - cd $Script_WorkingDir - # unload modules - Remove-Module shared -Verbose:$false -Debug:$false - Remove-Module targets -Verbose:$false -Debug:$false - exit $ExitCode -} - -# GitHub user: https://github.com/mkropat -# Gist account at GitHub: https://gist.github.com/mkropat -# Gist snippet URL: https://gist.github.com/mkropat/c1226e0cc2ca941b23a9 -function Add-EnvPath -{ param( - [Parameter(Mandatory=$true)] - [string] $Path, - - [ValidateSet("Machine", "User", "Session")] - [string] $Container = "Session" - ) - - if ($Container -ne "Session") - { $containerType = $EnvPath_ContainerMapping[$Container] - $persistedPaths = [Environment]::GetEnvironmentVariable("Path", $containerType) -split ";" - if ($persistedPaths -notcontains $Path) - { $persistedPaths = $persistedPaths + $Path | where { $_ } - [Environment]::SetEnvironmentVariable("Path", $persistedPaths -join ";", $containerType) - } - } - - $envPaths = $env:Path -split ";" - if ($envPaths -notcontains $Path) - { $envPaths = $envPaths + $Path | where { $_ } - $env:Path = $envPaths -join ";" - } -} - -# GitHub user: https://github.com/mkropat -# Gist account at GitHub: https://gist.github.com/mkropat -# Gist snippet URL: https://gist.github.com/mkropat/c1226e0cc2ca941b23a9 -function Remove-EnvPath -{ param ( - [Parameter(Mandatory=$true)] - [string] $Path, - - [ValidateSet("Machine", "User", "Session")] - [string] $Container = "Session" - ) - - if ($Container -ne "Session") - { $containerType = $EnvPath_ContainerMapping[$Container] - $persistedPaths = [Environment]::GetEnvironmentVariable("Path", $containerType) -split ";" - if ($persistedPaths -contains $Path) - { $persistedPaths = $persistedPaths | where { $_ -and $_ -ne $Path } - [Environment]::SetEnvironmentVariable("Path", $persistedPaths -join ";", $containerType) - } - } - - $envPaths = $env:Path -split ";" - if ($envPaths -contains $Path) - { $envPaths = $envPaths | where { $_ -and $_ -ne $Path } - $env:Path = $envPaths -join ";" - } -} - -# GitHub user: https://github.com/mkropat -# Gist account at GitHub: https://gist.github.com/mkropat -# Gist snippet URL: https://gist.github.com/mkropat/c1226e0cc2ca941b23a9 -function Get-EnvPath -{ param ( - [Parameter(Mandatory=$true)] - [ValidateSet("Machine", "User")] - [string] $Container - ) - - $containerType = $EnvPath_ContainerMapping[$Container] - [Environment]::GetEnvironmentVariable('Path', $containerType) -split ";" | where { $_ } -} - - -if ($false) -{ # Write-Host "Uninstalling GHDL $GHDLVersion for Windows..." - - # Write-Host "[ERROR]: This command is not implemented." -ForegroundColor Red - Exit-Script -1 -} # Uninstall -else -{ # ============================================================================ - # Clean tasks - # ============================================================================ - if ($Clean) - { Write-Host "Removing all created files and directories..." } - - if ($Clean_GHDL) - { $Script_Path = $GHDLWindowsDir + "\compile-ghdl.ps1" - $Script_Parameters = @( - '-Clean', - '-Hosted', - '-Verbose:$EnableVerbose', - '-Debug:$EnableDebug' - ) - - Write-Host "Running compile-ghdl.ps1 -Clean ..." -ForegroundColor DarkCyan - Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - $InvokeExpr = "$Script_Path " + ($Script_Parameters -join " ") - Invoke-Expression $InvokeExpr - if ($LastExitCode -ne 0) - { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - Write-Host "[ERROR]: While executing '$InvokeExpr'." -ForegroundColor Red - Exit-Script -1 - } - else - { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - Write-Host "Completed compile-ghdl.ps1 " -NoNewline - Write-Host "[SUCCESSFUL]" -ForegroundColor Green - Write-Host - } - } # Clean_GHDL - if ($Clean_Libraries) - { if ($Clean_GHDL) - { Write-Host } - - $Script_Path = $GHDLWindowsDir + "\compile-libraries.ps1" - $Script_Parameters = @( - '-Clean', - '-Hosted', - '-Verbose:$EnableVerbose', - '-Debug:$EnableDebug' - ) - - Write-Host "Running compile-libraries.ps1 -Clean ..." -ForegroundColor DarkCyan - Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - $InvokeExpr = "$Script_Path " + ($Script_Parameters -join " ") - Invoke-Expression $InvokeExpr - if ($LastExitCode -ne 0) - { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - Write-Host "[ERROR]: While executing '$InvokeExpr'." -ForegroundColor Red - Exit-Script -1 - } - else - { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - Write-Host "Completed compile-libraries.ps1 " -NoNewline - Write-Host "[SUCCESSFUL]" -ForegroundColor Green - Write-Host - } - } # Clean_Libraries - if ($Clean_Package_Zip) - { if ($Clean_GHDL -or $Clean_Libraries) - { Write-Host } - - Write-Host "Running more clean-up tasks..." -ForegroundColor DarkCyan - Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - Write-Host "Removing installer packages and temporary directories..." -ForegroundColor Yellow - if (Test-Path -Path $GHDLZipPackageDir) - { Write-Host " rmdir $GHDLZipPackageDir" - Remove-Item $GHDLZipPackageDir -Force -Recurse -ErrorAction SilentlyContinue - if ($? -eq $false) - { Write-Host "[ERROR]: While deleting '$GHDLZipPackageDir'." -ForegroundColor Red - Exit-Script -1 - } - } - - if (Test-Path -Path $GHDLZipPackageFile) - { Write-Host " rm $GHDLZipPackageFile" - Remove-Item $GHDLZipPackageFile -Force -Recurse -ErrorAction SilentlyContinue - if ($? -eq $false) - { Write-Host "[ERROR]: While deleting '$GHDLZipPackageFile'." -ForegroundColor Red - Exit-Script -1 - } - } - - Write-Host - Write-Host "Clean " -NoNewline - Write-Host "[SUCCESSFUL]" -ForegroundColor Green - Write-Host - } # Clean_Package_Zip - - # ============================================================================ - # Compile tasks - # ============================================================================ - if ($Compile_GHDL) - { if ($Clean) - { Write-Host } - - $Script_Path = $GHDLWindowsDir + "\compile-ghdl.ps1" - $Script_Parameters = @() - $Script_Parameters = @( - '-All', - '-Hosted', - '-Verbose:$EnableVerbose', - '-Debug:$EnableDebug' - ) - - # Write-Host "Compiling GHDL $GHDLVersion for Windows..." -ForegroundColor DarkCyan - # Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - - Write-Host - Write-Host "Running compile-ghdl.ps1 -All ..." -ForegroundColor DarkCyan - Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - $InvokeExpr = "$Script_Path " + ($Script_Parameters -join " ") - Invoke-Expression $InvokeExpr - if ($LastExitCode -ne 0) - { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - Write-Host "[ERROR]: While executing '$InvokeExpr'." -ForegroundColor Red - Exit-Script -1 - } - else - { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - Write-Host "Completed compile-ghdl.ps1 " -NoNewline - Write-Host "[SUCCESSFUL]" -ForegroundColor Green - Write-Host - } - } # Compile_GHDL - if ($Compile_Libraries) - { if ($Compile_GHDL) - { Write-Host } - - $Script_Path = $GHDLWindowsDir + "\compile-libraries.ps1" - $Script_Parameters = @() - $Script_Parameters = @( - '-Compile', - '-Hosted', - '-Verbose:$EnableVerbose', - '-Debug:$EnableDebug' - ) - - # Write-Host "Compiling GHDL's libraries ..." -ForegroundColor DarkCyan - # Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - - $env:GHDL = "$GHDLBuildDir\ghdl.exe" - Write-Host ("Setting env:GHDL to '" + $env:GHDL + "'") - - Write-Host - Write-Host "Running compile-libraries.ps1 -Compile ..." -ForegroundColor DarkCyan - Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - $InvokeExpr = "$Script_Path " + ($Script_Parameters -join " ") - Invoke-Expression $InvokeExpr - if ($LastExitCode -ne 0) - { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - Write-Host "[ERROR]: While executing '$InvokeExpr'." -ForegroundColor Red - Exit-Script -1 - } - else - { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan - Write-Host "Completed compile-libraries.ps1 " -NoNewline - Write-Host "[SUCCESSFUL]" -ForegroundColor Green - Write-Host - } - } # Compile_GHDL - - # ============================================================================ - # Package tasks - # ============================================================================ - if ($Package) - { Write-Host "Creating an installation package for GHDL $GHDLVersion for Windows" - $Good = $false - - if ($Zip) - { Write-Host "Loading PowerShell Community Extensions (PSCX) " -NoNewline - if ((Get-Module -ListAvailable | Where {$_.Name -like "PSCX"}).Version -ge "3.1.0.0") - { Import-Module Pscx -Verbose:$false - Write-Host "[Done]" -ForegroundColor Green - } - else - { Write-Host "[FAILED]" -ForegroundColor RED - Exit-Script -1 - } - - Write-Host "Output format: zip-file" - Write-Host " Removing old directory '$GHDLZipPackageDir'." - if (Test-Path -Path $GHDLZipPackageDir) - { Remove-Item $GHDLZipPackageDir -Force -Recurse -ErrorAction SilentlyContinue - if ($? -eq $false) - { Write-Host "[ERROR]: While deleting '$GHDLZipPackageDir'." -ForegroundColor Red - Exit-Script -1 - } - } - if (Test-Path -Path $GHDLZipPackageFile) - { Remove-Item $GHDLZipPackageFile -Force -Recurse -ErrorAction SilentlyContinue - if ($? -eq $false) - { Write-Host "[ERROR]: While deleting '$GHDLZipPackageFile'." -ForegroundColor Red - Exit-Script -1 - } - } - - Write-Host " Creating directory '$GHDLZipPackageDir' and sub-directories..." - New-Item -ItemType directory -Path "$GHDLZipPackageDir" -ErrorAction SilentlyContinue | Out-Null - New-Item -ItemType directory -Path "$GHDLZipPackageDir\bin" -ErrorAction SilentlyContinue | Out-Null - New-Item -ItemType directory -Path "$GHDLZipPackageDir\include" -ErrorAction SilentlyContinue | Out-Null - New-Item -ItemType directory -Path "$GHDLZipPackageDir\lib" -ErrorAction SilentlyContinue | Out-Null - - Write-Host " Gathering files..." - # executables - Copy-Item "$GHDLBuildDir\ghdl.exe" "$GHDLZipPackageDir\bin\ghdl.exe" -ErrorAction SilentlyContinue - # include files - Copy-Item "$GHDLRootDir\src\grt\vpi_user.h" "$GHDLZipPackageDir\include" -ErrorAction SilentlyContinue - # pre-compile scripts - Copy-Item $GHDLVendorLibraryDir -Recurse "$GHDLZipPackageDir\lib\vendors" -ErrorAction SilentlyContinue - # pre-compiled libraries - Copy-Item $GHDLCompiledLibraryDir -Recurse "$GHDLZipPackageDir" -ErrorAction SilentlyContinue - - Write-Host " Compressing all files into '$GHDLZipPackageFile'..." - $file = Get-ChildItem $GHDLZipPackageDir -Recurse | Write-Zip -IncludeEmptyDirectories -EntryPathRoot $GHDLZipPackageDir -OutputPath $GHDLZipPackageFile - Write-Host " $([math]::round(($file.Length / 1MB), 3)) MiB written to disk" - - Write-Host - Write-Host "Creating package " -NoNewline - Write-Host "[SUCCESSFUL]" -ForegroundColor Green - Write-Host - - $Good = $true - } - - if ($PS1) - { Write-Host "Creating a self-extracting PowerShell package for GHDL $GHDLVersion for Windows" - - if (-not (Test-Path -Path $GHDLZipPackageFile)) - { Write-Host "[ERROR]: ZIP file '$GHDLZipPackageFile' does not exist." -ForegroundColor Red - Exit-Script -1 - } - - # Read ZIP file and convert it to base64 - $ResolvedPath = Resolve-Path "$GHDLZipPackageFile" - $CompressedFileContentAsBytes = [System.IO.File]::ReadAllBytes("$ResolvedPath") - $CompressedFileContentInBase64 = [System.Convert]::ToBase64String($CompressedFileContentAsBytes) - - # Read a Installer template and add the base64 content - $Installer = Get-Content $InstallerTemplateFile - $Installer = $Installer -replace "# DATASECTION", "`$CompressedFileContentInBase64 = `"$CompressedFileContentInBase64`"" - $Installer | Out-File -FilePath $GHDLPS1PackageFile - - Write-Host - Write-Host "Creating package " -NoNewline - Write-Host "[SUCCESSFUL]" -ForegroundColor Green - Write-Host - - $Good = $true - } - - if (-not $Good) - { Write-Host "[ERROR]: No package format selected." -ForegroundColor Red - Write-Host "Possible formats:" - Write-Host " - Zip-file (-Zip)" - Write-Host - } - } - # ============================================================================ - # Install tasks - # ============================================================================ - if ($Install) - { Write-Host "Installing GHDL $GHDLVersion for Windows..." - if ($InstallDir -eq "") - { if (Test-Path $InstallDirFile -PathType Leaf) - { Write-Host " Reading installation path from '$InstallDirFile' ..." - $InstallPath = Get-Content $InstallDirFile -Encoding Ascii - } - else - { $InstallPath = $DefaultInstallPath } - } - else - { $InstallPath = $InstallDir } - $InstallPath = $InstallPath.TrimEnd("\") - - if ($Zip) - { Write-Host "Loading PowerShell Community Extensions (PSCX) " -NoNewline - if ((Get-Module -ListAvailable | Where {$_.Name -like "PSCX"}).Version -ge "3.1.0.0") - { Import-Module Pscx -Verbose:$false - Write-Host "[Done]" -ForegroundColor Green - } - else - { Write-Host "[FAILED]" -ForegroundColor RED - Exit-Script -1 - } - - Write-Host " Installing from Zip-file..." - - Write-Host "[ERROR]: This command is not implemented." -ForegroundColor Red - } - else - { Write-Host " Writing installation path to '$InstallDirFile'..." - $InstallPath | Out-File -FilePath $InstallDirFile -Encoding Ascii - - if (Test-Path -Path $InstallPath) - { Write-Host "[ERROR]: Directory '$InstallPath' already exists." -ForegroundColor Red - Exit-Script -1 - } - Write-Host " Install directory: $InstallPath" - Write-Host " Creating directory '$InstallPath' and sub-directories..." - New-Item -ItemType directory -Path "$InstallPath" -ErrorAction SilentlyContinue | Out-Null - New-Item -ItemType directory -Path "$InstallPath\bin" -ErrorAction SilentlyContinue | Out-Null - New-Item -ItemType directory -Path "$InstallPath\include" -ErrorAction SilentlyContinue | Out-Null - New-Item -ItemType directory -Path "$InstallPath\lib" -ErrorAction SilentlyContinue | Out-Null - - Write-Host " Copying files..." - # executables - Copy-Item "$GHDLBuildDir\ghdl.exe" "$InstallPath\bin\ghdl.exe" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue - # include files - Copy-Item "$GHDLRootDir\src\grt\vpi_user.h" "$InstallPath\include" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue - # pre-compile scripts - Copy-Item $GHDLVendorLibraryDir -Recurse "$InstallPath\lib" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue - # pre-compiled libraries - Copy-Item $GHDLCompiledLibraryDir -Recurse "$InstallPath" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue - - while($true) - { Write-Host " Install GHDL in PATH at machine level? [" -NoNewline -ForegroundColor DarkCyan - Write-Host "M" -NoNewline -ForegroundColor Cyan - Write-Host "achine/" -NoNewline -ForegroundColor DarkCyan - Write-Host "u" -NoNewline -ForegroundColor Cyan - Write-Host "ser/" -NoNewline -ForegroundColor DarkCyan - Write-Host "s" -NoNewline -ForegroundColor Cyan - Write-Host "ession/" -NoNewline -ForegroundColor DarkCyan - Write-Host "p" -NoNewline -ForegroundColor Cyan - Write-Host "ass]: " -NoNewline -ForegroundColor DarkCyan - $InstallInPath = (Read-Host).ToLower() - if ($InstallInPath -in "m","u","s","p") - { break } - else - { Write-Host "[ERROR]: Unsupported choice: '$InstallInPath'." -ForegroundColor Red } - } - - if (($InstallInPath -eq "") -or ($InstallInPath -eq "m")) - { Write-Host " Adding GHDL to PATH at machine level." - Add-EnvPath -Path "$InstallPath\bin" -Container "Machine" - Add-EnvPath -Path "$InstallPath\bin" -Container "Session" - } - elseif ($InstallInPath -eq "u") - { Write-Host " Adding GHDL to PATH at user level." - Add-EnvPath -Path "$InstallPath\bin" -Container "User" - Add-EnvPath -Path "$InstallPath\bin" -Container "Session" - } - elseif ($InstallInPath -eq "s") - { Write-Host " Adding GHDL to PATH at session level." - Add-EnvPath -Path "$InstallPath\bin" -Container "Session" - } - - Write-Host - Write-Host "Installing files " -NoNewline - Write-Host "[SUCCESSFUL]" -ForegroundColor Green - Write-Host - - Exit-Script - } # Zip - } # Install - elseif ($Update) - { Write-Host "Updating GHDL $GHDLVersion for Windows..." - if (Test-Path $InstallDirFile -PathType Leaf) - { Write-Host " Reading installation path from '$InstallDirFile' ..." - $InstallPath = Get-Content $InstallDirFile -Encoding Ascii - } - else - { if ($InstallDir -eq "") - { } - else - { $InstallPath = $InstallDir } - } - $InstallPath = $InstallPath.TrimEnd("\") - - Write-Host " Install directory: $InstallPath" - if (Test-Path -Path $InstallPath) - { Write-Host " Cleaning up installation directory '$InstallPath'." -ForegroundColor Yellow - Get-ChildItem -Path $InstallPath -Depth 0 | foreach { Remove-Item $_.FullName -Recurse -Force } - } - - - Write-Host " Creating directory sub-directories in '$InstallPath' ..." - New-Item -ItemType directory -Path "$InstallPath\bin" -ErrorAction SilentlyContinue | Out-Null - New-Item -ItemType directory -Path "$InstallPath\include" -ErrorAction SilentlyContinue | Out-Null - New-Item -ItemType directory -Path "$InstallPath\lib" -ErrorAction SilentlyContinue | Out-Null - - Write-Host " Copying files..." - # executables - Copy-Item "$GHDLBuildDir\ghdl.exe" "$InstallPath\bin\ghdl.exe" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue - # include files - Copy-Item "$GHDLRootDir\src\grt\vpi_user.h" "$InstallPath\include" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue - # pre-compile scripts - Copy-Item $GHDLVendorLibraryDir -Recurse "$InstallPath\lib" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue - # pre-compiled libraries - Copy-Item $GHDLCompiledLibraryDir -Recurse "$InstallPath" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue - - if ($AddToPath -eq "") - { while($true) - { Write-Host " Install GHDL in PATH at machine level? [" -NoNewline -ForegroundColor DarkCyan - Write-Host "M" -NoNewline -ForegroundColor Cyan - Write-Host "achine/" -NoNewline -ForegroundColor DarkCyan - Write-Host "u" -NoNewline -ForegroundColor Cyan - Write-Host "ser/" -NoNewline -ForegroundColor DarkCyan - Write-Host "s" -NoNewline -ForegroundColor Cyan - Write-Host "ession/" -NoNewline -ForegroundColor DarkCyan - Write-Host "r" -NoNewline -ForegroundColor Cyan - Write-Host "emove/" -NoNewline -ForegroundColor DarkCyan - Write-Host "p" -NoNewline -ForegroundColor Cyan - Write-Host "ass]: " -NoNewline -ForegroundColor DarkCyan - $InstallInPath = (Read-Host).ToLower() - if ($InstallInPath -in "m","u","s","r","p") - { break } - else - { Write-Host "[ERROR]: Unsupported choice: '$InstallInPath'." -ForegroundColor Red } - } - } - elseif ($AddToPath -eq "Machine") - { $InstallInPath = "m" } - elseif ($AddToPath -eq "User") - { $InstallInPath = "u" } - elseif ($AddToPath -eq "Session") - { $InstallInPath = "s" } - elseif ($AddToPath -eq "Remove") - { $InstallInPath = "r" } - elseif ($AddToPath -eq "Pass") - { $InstallInPath = "p" } - - if ($InstallInPath -ne "p") - { Write-Host " Removing GHDL from PATH variables in Machine, User, Session ..." -ForegroundColor Yellow - foreach ($container in @("Machine", "User")) - { foreach ($entry in (Get-EnvPath -Container $container)) - { if ($entry.ToLower().Contains("ghdl")) - { Write-Host " Removing '$entry' from $container level." - Remove-EnvPath -Path $entry -Container $container - } - } - } - Remove-EnvPath -Path $entry -Container "Session" - - if (($InstallInPath -eq "") -or ($InstallInPath -eq "m")) - { Write-Host " Adding GHDL to PATH at machine level." - Add-EnvPath -Path "$InstallPath\bin" -Container "Machine" - Add-EnvPath -Path "$InstallPath\bin" -Container "Session" - } - elseif ($InstallInPath -eq "u") - { Write-Host " Adding GHDL to PATH at user level." - Add-EnvPath -Path "$InstallPath\bin" -Container "User" - Add-EnvPath -Path "$InstallPath\bin" -Container "Session" - } - elseif ($InstallInPath -eq "s") - { Write-Host " Adding GHDL to PATH at session level." - Add-EnvPath -Path "$InstallPath\bin" -Container "Session" - } - } - - Write-Host - Write-Host "Updating files " -NoNewline - Write-Host "[SUCCESSFUL]" -ForegroundColor Green - Write-Host - - Exit-Script - } # Update - elseif ($Uninstall) - { Write-Host "Uninstalling GHDL $GHDLVersion for Windows..." - if (Test-Path $InstallDirFile -PathType Leaf) - { Write-Host " Reading installation path from '$InstallDirFile' ..." - $InstallPath = Get-Content $InstallDirFile -Encoding Ascii - } - else - { $InstallPath = $DefaultInstallPath } - - Write-Host " Install directory: $InstallPath" - if (Test-Path -Path $InstallPath) - { Write-Host " Removing installation directory '$InstallPath'." -ForegroundColor Yellow - Remove-Item $InstallPath -Recurse -Force -ErrorAction SilentlyContinue - } - - Write-Host " Removing GHDL from PATH variables in Machine, User, Session ..." -ForegroundColor Yellow - foreach ($container in @("Machine", "User")) - { foreach ($entry in (Get-EnvPath -Container $container)) - { if ($entry.ToLower().Contains("ghdl")) - { Write-Host " Removing '$entry' from $container level." - Remove-EnvPath -Path $entry -Container $container - } - } - } - Remove-EnvPath -Path $entry -Container "Session" - - Write-Host - Write-Host "Uninstalling files " -NoNewline - Write-Host "[SUCCESSFUL]" -ForegroundColor Green - Write-Host - - Exit-Script - } # Uninstall - -} # Clean - -Exit-Script diff --git a/dist/windows/mcode/Makefile.in b/dist/windows/mcode/Makefile.in deleted file mode 100644 index be1f11516..000000000 --- a/dist/windows/mcode/Makefile.in +++ /dev/null @@ -1,54 +0,0 @@ -PREFIX=/usr/local -target=i686-pc-linux-gnu - -CFLAGS=-O -GNATFLAGS=$(CFLAGS) -gnatn - -GRT_FLAGS=$(CFLAGS) - -all: ghdl_mcode std.v93 std.v87 ieee.v93 ieee.v87 synopsys.v93 synopsys.v87 mentor.v93 - - -GRTSRCDIR=grt - -####grt Makefile.inc - -ghdl_mcode: default_paths.ads $(GRT_ADD_OBJS) mmap_binding.o force - gnatmake -aIghdldrv -aIghdl -aIortho -aIgrt $(GNATFLAGS) ghdl_mcode $(GNAT_BARGS) -largs mmap_binding.o $(GNAT_LARGS) $(GRT_ADD_OBJS) $(GRT_EXTRA_LIB) -Wl,--version-script=$(GRTSRCDIR)/grt.ver -Wl,--export-dynamic - -mmap_binding.o: ortho/mmap_binding.c - $(CC) -c -g -o $@ $< - -default_paths.ads: Makefile - echo "-- DO NOT EDIT" > tmp-dpaths.ads - echo "-- This file is created by Makefile" >> tmp-dpaths.ads - echo "package Default_Paths is" >> tmp-dpaths.ads - echo " Prefix : constant String :=">> tmp-dpaths.ads - echo " \"$(PREFIX)/lib/ghdl/\";" >> tmp-dpaths.ads - echo "end Default_Paths;" >> tmp-dpaths.ads - if test -r $@ && cmp tmp-dpaths.ads $@; then \ - echo "$@ unchanged"; \ - else \ - mv tmp-dpaths.ads $@; \ - fi - $(RM) tmp-dpaths.ads - -force: - -LIB93_DIR:=./lib/v93 -LIB87_DIR:=./lib/v87 -LIBSRC_DIR:=./libraries -ANALYZE=../../../ghdl_mcode -a --ieee=none -REL_DIR=../../.. -VHDLLIBS_COPY_OBJS:=no -CP=cp -LN=ln -s - -./lib: - mkdir $@ - -$(LIB93_DIR) $(LIB87_DIR): ./lib - mkdir $@ - - -####libraries Makefile.inc diff --git a/dist/windows/mcode/binary_file-format.ads b/dist/windows/mcode/binary_file-format.ads deleted file mode 100644 index daf2afe2e..000000000 --- a/dist/windows/mcode/binary_file-format.ads +++ /dev/null @@ -1,3 +0,0 @@ -with Binary_File.Coff; - -package Binary_File.Format renames Binary_File.Coff; diff --git a/dist/windows/mcode/compile.bat b/dist/windows/mcode/compile.bat deleted file mode 100644 index 9a1825e46..000000000 --- a/dist/windows/mcode/compile.bat +++ /dev/null @@ -1,31 +0,0 @@ -mkdir build -cd build - -rem Do the compilation -set CFLAGS= -O -Wall - -gcc -c %CFLAGS% ../../../src/grt/grt-cstdio.c -if errorlevel 1 goto failed - -gcc -c %CFLAGS% ../../../src/grt/grt-cvpi.c -if errorlevel 1 goto failed - -gcc -c %CFLAGS% ../../../src/grt/config/clock.c -if errorlevel 1 goto failed - -gcc -c %CFLAGS% ../../../src/ortho/mcode/memsegs_c.c -if errorlevel 1 goto failed - -gcc -c %CFLAGS% -DWITH_GNAT_RUN_TIME ../../../src/grt/config/win32.c -if errorlevel 1 goto failed - -gnatmake %CFLAGS% -gnatn -aI../windows -aI../../../src -aI../../../src/ghdldrv -aI../../../src/psl -aI../../../src/grt -aI../../../src/ortho/mcode -aI../../../src/vhdl -aI../../../src/vhdl/translate ghdl_jit -aI../../../src/ortho -o ghdl.exe -largs grt-cstdio.o clock.o grt-cvpi.o memsegs_c.o win32.o -ldbghelp -Wl,--stack,8404992 -if errorlevel 1 goto failed - -cd .. -exit /b 0 - -:failed -echo "Compilation failed" -cd .. -exit /b 1 diff --git a/dist/windows/mcode/complib.bat b/dist/windows/mcode/complib.bat deleted file mode 100644 index e7291ad03..000000000 --- a/dist/windows/mcode/complib.bat +++ /dev/null @@ -1,119 +0,0 @@ -set GHDL=ghdl - -cd build -gnatmake -aI..\windows ghdlfilter -cd .. - -set REL=..\..\.. -set LIBSRC=%REL%\..\..\libraries - -:: -:: library sources -:: -set STD_SRCS= textio textio-body -set IEEE_SRCS= std_logic_1164 std_logic_1164-body numeric_std numeric_std-body numeric_bit numeric_bit-body -set MATH_SRCS= math_real math_real-body math_complex math_complex-body - -set STD08_SRCS= textio textio-body env env-body -set IEEE08_SRCS= std_logic_1164 std_logic_1164-body std_logic_textio math_real math_real-body math_complex math_complex-body numeric_bit numeric_bit-body numeric_bit_unsigned numeric_bit_unsigned-body numeric_std numeric_std-body numeric_std_unsigned numeric_std_unsigned-body fixed_float_types fixed_generic_pkg fixed_generic_pkg-body fixed_pkg float_generic_pkg float_generic_pkg-body float_pkg ieee_bit_context ieee_std_context - -set VITAL95_SRCS= vital_timing vital_timing-body vital_primitives vital_primitives-body -set VITAL2000_SRCS= timing_p timing_b prmtvs_p prmtvs_b memory_p memory_b - -set SYNOPSYS_SRCS= std_logic_arith std_logic_textio std_logic_unsigned std_logic_signed std_logic_misc std_logic_misc-body -set MENTOR_SRCS= std_logic_arith std_logic_arith-body - - -mkdir lib -cd lib - -::::::::::::::::: -echo v87 libraries... - -mkdir v87 -cd v87 - -echo std -mkdir std -cd std -for %%F in (%STD_SRCS%) do %REL%\build\ghdlfilter -v87 < %LIBSRC%\std\%%F.vhdl > %%F.v87 && %REL%\build\%GHDL% -a --std=87 --bootstrap --work=std %%F.v87 -cd .. - -echo ieee -mkdir ieee -cd ieee -for %%F in (%IEEE_SRCS%) do %REL%\build\ghdlfilter -v87 < %LIBSRC%\ieee\%%F.vhdl > %%F.v87 && %REL%\build\%GHDL% -a --std=87 -P..\std --work=ieee %%F.v87 -for %%F in (%VITAL95_SRCS%) do copy %LIBSRC%\vital95\%%F.vhdl %%F.vhd && %REL%\build\%GHDL% -a --std=87 -P..\std --work=ieee %%F.vhd -cd .. - -echo synopsys -mkdir synopsys -cd synopsys -for %%F in (%IEEE_SRCS%) do %REL%\build\%GHDL% -a --std=87 -P..\std --work=ieee ..\ieee\%%F.v87 -for %%F in (%VITAL95_SRCS%) do %REL%\build\%GHDL% -a --std=87 -P..\std --work=ieee ..\ieee\%%F.vhd -for %%F in (%SYNOPSYS_SRCS%) do copy %LIBSRC%\synopsys\%%F.vhdl %%F.vhd && %REL%\build\%GHDL% -a --std=87 -P..\std --work=ieee %%F.vhd -cd .. - -cd .. - -::::::::::::::::: -echo v93 libraries... - -mkdir v93 -cd v93 - -echo std -mkdir std -cd std -for %%F in (%STD_SRCS%) do %REL%\build\ghdlfilter -v93 < %LIBSRC%\std\%%F.vhdl > %%F.v93 && %REL%\build\%GHDL% -a --std=93 --bootstrap --work=std %%F.v93 -cd .. - -echo ieee -mkdir ieee -cd ieee -for %%F in (%IEEE_SRCS%) do %REL%\build\ghdlfilter -v93 < %LIBSRC%\ieee\%%F.vhdl > %%F.v93 && %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee %%F.v93 -for %%F in (%VITAL2000_SRCS%) do copy %LIBSRC%\vital2000\%%F.vhdl %%F.vhd && %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee %%F.vhd -for %%F in (%MATH_SRCS%) do copy %LIBSRC%\ieee\%%F.vhdl %%F.vhd && %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee %%F.vhd -cd .. - -echo synopsys -mkdir synopsys -cd synopsys -for %%F in (%IEEE_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\ieee\%%F.v93 -for %%F in (%VITAL2000_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\ieee\%%F.vhd -for %%F in (%MATH_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\ieee\%%F.vhd -for %%F in (%SYNOPSYS_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\..\v87\synopsys\%%F.vhd -cd .. - -echo mentor -mkdir mentor -cd mentor -for %%F in (%IEEE_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\ieee\%%F.v93 -for %%F in (%VITAL2000_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\ieee\%%F.vhd -for %%F in (%MATH_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\ieee\%%F.vhd -for %%F in (%MENTOR_SRCS%) do copy %LIBSRC%\mentor\%%F.vhdl %%F.vhd && %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee %%F.vhd -cd .. - -cd .. - -::::::::::::::::: -echo v08 libraries... - -mkdir v08 -cd v08 - -echo std -mkdir std -cd std -for %%F in (%STD08_SRCS%) do %REL%\build\ghdlfilter -v08 < %LIBSRC%\std\%%F.vhdl > %%F.v08 && %REL%\build\%GHDL% -a --std=08 --bootstrap --work=std %%F.v08 -cd .. - -echo ieee -mkdir ieee -cd ieee -for %%F in (%IEEE08_SRCS%) do %REL%\build\ghdlfilter -v08 < %LIBSRC%\ieee2008\%%F.vhdl > %%F.v08 && %REL%\build\%GHDL% -a --std=08 -P..\std --work=ieee %%F.v08 -cd .. - -::::::::::::::::: - -cd ..\.. diff --git a/dist/windows/mcode/default_paths.ads b/dist/windows/mcode/default_paths.ads deleted file mode 100644 index 6b1d9d952..000000000 --- a/dist/windows/mcode/default_paths.ads +++ /dev/null @@ -1,9 +0,0 @@ -with Windows_Default_Path; -pragma Elaborate_All (Windows_Default_Path); - -package Default_Paths is - Install_Prefix : constant String := - Windows_Default_Path.Get_Windows_Exec_Path; - Lib_Prefix : constant String := "lib"; - Shared_Library_Extension : constant String := ".dll"; -end Default_Paths; diff --git a/dist/windows/mcode/ghdl.nsi b/dist/windows/mcode/ghdl.nsi deleted file mode 100644 index 21ecb50c4..000000000 --- a/dist/windows/mcode/ghdl.nsi +++ /dev/null @@ -1,458 +0,0 @@ -; ghdl.nsi -; -; This script is based on example2.nsi. -; remember the directory, -; Check if administrator -; uninstall support -; TODO: -; * allow multiple version -; * command line installation -; * Allow user install - -;-------------------------------- -!include version.nsi -;-------------------------------- - -; The name of the installer -Name "Ghdl" - -; The file to write -OutFile "ghdl-installer-${VERSION}.exe" - -SetDateSave on - -; The default installation directory -InstallDir $PROGRAMFILES\Ghdl - -; Registry key to check for directory (so if you install again, it will -; overwrite the old one automatically) -InstallDirRegKey HKLM "Software\Ghdl" "Install_Dir" - -LicenseData ..\..\..\COPYING -; LicenseForceSelection - -;-------------------------------- - -; Pages - -Page license -Page components -Page directory -Page instfiles - -UninstPage uninstConfirm -UninstPage instfiles - -;-------------------------------- -Function .onInit - Call IsNT - pop $R0 - StrCmp $R0 1 nt_ok - MessageBox MB_OK|MB_ICONEXCLAMATION "You must use Windows NT (XP/2000/Me...)" - Quit - -nt_ok: - Call IsUserAdmin - Pop $R0 - StrCmp $R0 "true" Admin - MessageBox MB_OK|MB_ICONEXCLAMATION "You must have Admin rights" - Quit - -Admin: - - ;;; Check if already installed. - ReadRegStr $0 HKLM "Software\Ghdl" "Install_Dir" - IfErrors not_installed - ReadRegStr $0 HKLM "Software\Ghdl" "Version" - IfErrors unknown_prev_version - Goto known_version -unknown_prev_version: - StrCpy $0 "(unknown)" -known_version: - MessageBox MB_OKCANCEL|MB_ICONEXCLAMATION "You already have GHDL version $0 installed. Deinstall ?" IDCANCEL install_abort IDOK deinstall -install_abort: - Abort "Installation aborted" -deinstall: - ReadRegStr $0 HKLM "Software\Microsoft\Windows\CurrentVersion\Uninstall\Ghdl" "UninstallString" - IfErrors deinstall_failed - - ; First version of the GHDL installer adds quotes - StrCpy $1 $0 1 - StrCmp $1 '"' 0 str_ok - StrCpy $1 $0 "" 1 - StrCpy $0 $1 -1 -str_ok: - - ; Read install dir - ReadRegStr $1 HKLM "Software\Ghdl" "Install_Dir" - IfErrors deinstall_failed - -; MessageBox MB_OK 'copy $0 to $TEMP' - - ClearErrors -; MessageBox MB_OK 'copy $0 to $TEMP' - CopyFiles $0 $TEMP - IfErrors deinstall_failed - ExecWait '"$TEMP\uninst-ghdl.exe" /S _?=$1' - IfErrors deinstall_failed - Delete "$TEMP\uninst-ghdl.exe" - Return -deinstall_failed: - Delete $TEMP\uninst-ghdl.exe - MessageBox MB_YESNO|MB_ICONSTOP "Can't deinstall GHDL: de-installer not found or failed. Continue installation ?" IDNO install_abort -not_installed: - Return -FunctionEnd - -;-------------------------------- - -; The stuff to install -Section "Ghdl Compiler (required)" - - SectionIn RO - - ; Set output path to the installation directory. - SetOutPath $INSTDIR\bin - File /oname=ghdl.exe ..\build\ghdl.exe - - SetOutPath $INSTDIR - File /oname=COPYING.txt ..\..\..\COPYING - - ; Write the installation path into the registry - WriteRegStr HKLM "Software\Ghdl" "Install_Dir" $INSTDIR - ; Write te version - WriteRegStr HKLM "Software\Ghdl" "Version" ${VERSION} - - ; Write the uninstall keys for Windows - WriteRegStr HKLM "Software\Microsoft\Windows\CurrentVersion\Uninstall\Ghdl" "DisplayName" "Ghdl" - WriteRegStr HKLM "Software\Microsoft\Windows\CurrentVersion\Uninstall\Ghdl" "UninstallString" $INSTDIR\uninst-ghdl.exe - WriteRegDWORD HKLM "Software\Microsoft\Windows\CurrentVersion\Uninstall\Ghdl" "NoModify" 1 - WriteRegDWORD HKLM "Software\Microsoft\Windows\CurrentVersion\Uninstall\Ghdl" "NoRepair" 1 - WriteUninstaller $INSTDIR\uninst-ghdl.exe" - -SectionEnd - -Section "VHDL standard and ieee libraries" - SectionIn RO - SetOutPath $INSTDIR\lib\v87 - File /r ..\lib\v87\std ..\lib\v87\ieee - SetOutPath $INSTDIR\lib\v93 - File /r ..\lib\v93\std ..\lib\v93\ieee - SetOutPath $INSTDIR\lib\v08 - File /r ..\lib\v08\std ..\lib\v08\ieee -SectionEnd - -Section "Synopsys libraries (Recommended)" - SetOutPath $INSTDIR\lib\v87 - File /r ..\lib\v87\synopsys - SetOutPath $INSTDIR\lib\v93 - File /r ..\lib\v93\synopsys -SectionEnd - -Section "Documentation (Recommended)" - SetOutPath $INSTDIR - File /oname=ghdl.htm ..\..\..\doc\ghdl.html -SectionEnd - -Section "Add in PATH (Recommended)" - WriteRegDWORD HKLM "Software\Ghdl" "PathSet" 1 - Push $INSTDIR\Bin - Call AddToPath -SectionEnd - -; Optional section (can be disabled by the user) -;Section "Start Menu Shortcuts" -; -; CreateDirectory "$SMPROGRAMS\Ghdl" -; CreateShortCut "$SMPROGRAMS\Ghdl\Uninstall.lnk" "$INSTDIR\uninstall.exe" "" "$INSTDIR\uninstall.exe" 0 -; CreateShortCut "$SMPROGRAMS\Ghdl\Ghdl.lnk" "$INSTDIR\example2.nsi" "" "$INSTDIR\example2.nsi" 0 -; -;SectionEnd -; - -;-------------------------------- - -; Uninstaller - -Section "Uninstall" - - ReadRegDWORD $0 HKLM "Software\Ghdl" "PathSet" - StrCmp $0 "1" "" path_not_set - Push $INSTDIR\Bin - Call un.RemoveFromPath - -path_not_set: - - ; Remove registry keys - DeleteRegKey HKLM "Software\Microsoft\Windows\CurrentVersion\Uninstall\Ghdl" - DeleteRegKey HKLM Software\Ghdl - - ; Remove files and uninstaller - Delete $INSTDIR\bin\ghdl.exe - Delete $INSTDIR\bin\ghdl.htm - Delete $INSTDIR\uninst-ghdl.exe - Delete $INSTDIR\COPYING.txt - RMDir $INSTDIR\bin - RMDir /r $INSTDIR\lib - - - ; Remove shortcuts, if any - ; Delete "$SMPROGRAMS\Ghdl\*.*" - - ; Remove directories used - ; RMDir "$SMPROGRAMS\Ghdl" - RMDir "$INSTDIR" - -SectionEnd - -;;;;;;;; Misc functions - -; Author: Lilla (lilla@earthlink.net) 2003-06-13 -; function IsUserAdmin uses plugin \NSIS\PlusgIns\UserInfo.dll -; This function is based upon code in \NSIS\Contrib\UserInfo\UserInfo.nsi -; This function was tested under NSIS 2 beta 4 (latest CVS as of this writing). -; -; Usage: -; Call IsUserAdmin -; Pop $R0 ; at this point $R0 is "true" or "false" -; -Function IsUserAdmin -Push $R0 -Push $R1 -Push $R2 - -ClearErrors -UserInfo::GetName -IfErrors Win9x -Pop $R1 -UserInfo::GetAccountType -Pop $R2 - -StrCmp $R2 "Admin" 0 Continue -; Observation: I get here when running Win98SE. (Lilla) -; The functions UserInfo.dll looks for are there on Win98 too, -; but just don't work. So UserInfo.dll, knowing that admin isn't required -; on Win98, returns admin anyway. (per kichik) -; MessageBox MB_OK 'User "$R1" is in the Administrators group' -StrCpy $R0 "true" -Goto Done - -Continue: -; You should still check for an empty string because the functions -; UserInfo.dll looks for may not be present on Windows 95. (per kichik) -StrCmp $R2 "" Win9x -StrCpy $R0 "false" -;MessageBox MB_OK 'User "$R1" is in the "$R2" group' -Goto Done - -Win9x: -; comment/message below is by UserInfo.nsi author: -; This one means you don't need to care about admin or -; not admin because Windows 9x doesn't either -;MessageBox MB_OK "Error! This DLL can't run under Windows 9x!" -StrCpy $R0 "true" - -Done: -;MessageBox MB_OK 'User= "$R1" AccountType= "$R2" IsUserAdmin= "$R0"' - -Pop $R2 -Pop $R1 -Exch $R0 -FunctionEnd - - -!define ALL_USERS - -!ifndef WriteEnvStr_RegKey - !ifdef ALL_USERS - !define WriteEnvStr_RegKey \ - 'HKLM "SYSTEM\CurrentControlSet\Control\Session Manager\Environment"' - !else - !define WriteEnvStr_RegKey 'HKCU "Environment"' - !endif -!endif - -!verbose 3 -!include "WinMessages.NSH" -!verbose 4 - -; AddToPath - Adds the given dir to the search path. -; Input - head of the stack -; Note - Win9x systems requires reboot - -Function AddToPath - Exch $0 - Push $1 - Push $2 - Push $3 - - # don't add if the path doesn't exist - IfFileExists "$0\*.*" "" AddToPath_done - - ReadEnvStr $1 PATH - Push "$1;" - Push "$0;" - Call StrStr - Pop $2 - StrCmp $2 "" "" AddToPath_done - Push "$1;" - Push "$0\;" - Call StrStr - Pop $2 - StrCmp $2 "" "" AddToPath_done - GetFullPathName /SHORT $3 $0 - Push "$1;" - Push "$3;" - Call StrStr - Pop $2 - StrCmp $2 "" "" AddToPath_done - Push "$1;" - Push "$3\;" - Call StrStr - Pop $2 - StrCmp $2 "" "" AddToPath_done - - ReadRegStr $1 ${WriteEnvStr_RegKey} "PATH" - StrCpy $2 $1 1 -1 # copy last char - StrCmp $2 ";" 0 +2 # if last char == ; - StrCpy $1 $1 -1 # remove last char - StrCmp $1 "" AddToPath_NTdoIt - StrCpy $0 "$1;$0" - AddToPath_NTdoIt: - WriteRegExpandStr ${WriteEnvStr_RegKey} "PATH" $0 - SendMessage ${HWND_BROADCAST} ${WM_WININICHANGE} 0 "STR:Environment" /TIMEOUT=5000 - - AddToPath_done: - Pop $3 - Pop $2 - Pop $1 - Pop $0 -FunctionEnd - -; RemoveFromPath - Remove a given dir from the path -; Input: head of the stack - -Function un.RemoveFromPath - Exch $0 - Push $1 - Push $2 - Push $3 - Push $4 - Push $5 - Push $6 - - IntFmt $6 "%c" 26 # DOS EOF - - ReadRegStr $1 ${WriteEnvStr_RegKey} "PATH" - StrCpy $5 $1 1 -1 # copy last char - StrCmp $5 ";" +2 # if last char != ; - StrCpy $1 "$1;" # append ; - Push $1 - Push "$0;" - Call un.StrStr ; Find `$0;` in $1 - Pop $2 ; pos of our dir - StrCmp $2 "" unRemoveFromPath_done - ; else, it is in path - # $0 - path to add - # $1 - path var - StrLen $3 "$0;" - StrLen $4 $2 - StrCpy $5 $1 -$4 # $5 is now the part before the path to remove - StrCpy $6 $2 "" $3 # $6 is now the part after the path to remove - StrCpy $3 $5$6 - - StrCpy $5 $3 1 -1 # copy last char - StrCmp $5 ";" 0 +2 # if last char == ; - StrCpy $3 $3 -1 # remove last char - - WriteRegExpandStr ${WriteEnvStr_RegKey} "PATH" $3 - SendMessage ${HWND_BROADCAST} ${WM_WININICHANGE} 0 "STR:Environment" /TIMEOUT=5000 - - unRemoveFromPath_done: - Pop $6 - Pop $5 - Pop $4 - Pop $3 - Pop $2 - Pop $1 - Pop $0 -FunctionEnd - -########################################### -# Utility Functions # -########################################### - -; IsNT -; no input -; output, top of the stack = 1 if NT or 0 if not -; -; Usage: -; Call IsNT -; Pop $R0 -; ($R0 at this point is 1 or 0) - -!macro IsNT un -Function ${un}IsNT - Push $0 - ReadRegStr $0 HKLM "SOFTWARE\Microsoft\Windows NT\CurrentVersion" CurrentVersion - StrCmp $0 "" 0 IsNT_yes - ; we are not NT. - Pop $0 - Push 0 - Return - - IsNT_yes: - ; NT!!! - Pop $0 - Push 1 -FunctionEnd -!macroend -!insertmacro IsNT "" -;!insertmacro IsNT "un." - -; StrStr -; input, top of stack = string to search for -; top of stack-1 = string to search in -; output, top of stack (replaces with the portion of the string remaining) -; modifies no other variables. -; -; Usage: -; Push "this is a long ass string" -; Push "ass" -; Call StrStr -; Pop $R0 -; ($R0 at this point is "ass string") - -!macro StrStr un -Function ${un}StrStr -Exch $R1 ; st=haystack,old$R1, $R1=needle - Exch ; st=old$R1,haystack - Exch $R2 ; st=old$R1,old$R2, $R2=haystack - Push $R3 - Push $R4 - Push $R5 - StrLen $R3 $R1 - StrCpy $R4 0 - ; $R1=needle - ; $R2=haystack - ; $R3=len(needle) - ; $R4=cnt - ; $R5=tmp - loop: - StrCpy $R5 $R2 $R3 $R4 - StrCmp $R5 $R1 done - StrCmp $R5 "" done - IntOp $R4 $R4 + 1 - Goto loop -done: - StrCpy $R1 $R2 "" $R4 - Pop $R5 - Pop $R4 - Pop $R3 - Pop $R2 - Exch $R1 -FunctionEnd -!macroend -!insertmacro StrStr "" -!insertmacro StrStr "un." - diff --git a/dist/windows/mcode/ghdlfilter.adb b/dist/windows/mcode/ghdlfilter.adb deleted file mode 100644 index d75526dbd..000000000 --- a/dist/windows/mcode/ghdlfilter.adb +++ /dev/null @@ -1,132 +0,0 @@ --- --- Preprocessor to handle library source metacomments --- --- Limitations: --- - line metacomments must occur at end of line with no trailing space before the line break --- - block metacomments must start in column 1 --- --- Supported line metacomments: --- --- --!V87 --- --V87 --- --V93 --- --V08 --- --- Supported block metacomments: --- --- --START-!V87 --- --END-!V87 --- --- --START-V93 --- --END-V93 --- --- --START-V08 --- --END-V08 --- --- -with Ada.Command_Line; use Ada.Command_Line; -with Ada.Text_IO; use Ada.Text_IO; - -procedure Ghdlfilter is - type Mode_Kind is (Mode_93, Mode_87, Mode_08); - Mode : Mode_Kind; - - Line : String (1 .. 128); - Len : Natural; - - Comment : Boolean; - Block_Comment : Boolean; -begin - if Argument_Count /= 1 then - Put_Line (Standard_Error, "usage: " & Command_Name & " -v93|-v87|-v08"); - return; - end if; - - if Argument (1) = "-v93" then - Mode := Mode_93; - elsif Argument (1) = "-v87" then - Mode := Mode_87; - elsif Argument (1) = "-v08" then - Mode := Mode_08; - else - Put_Line (Standard_Error, "bad mode"); - return; - end if; - - Block_Comment := False; - - loop - exit when End_Of_File; - Get_Line (Line, Len); - - Comment := Block_Comment; - - -- - -- look for line metacomments - -- - if Len > 6 then - - if Mode = Mode_87 and ( Line (Len - 5 .. Len) = "--!V87" ) then - Comment := True; - end if; - - end if; - - - if Len > 5 then - - if Mode = Mode_87 and ( (Line (Len - 4 .. Len) = "--V93") or (Line (Len - 4 .. Len) = "--V08") ) then - Comment := True; - - elsif Mode = Mode_93 and ( (Line (Len - 4 .. Len) = "--V87") or (Line (Len - 4 .. Len) = "--V08") ) then - Comment := True; - - elsif Mode = Mode_08 and ( (Line (Len - 4 .. Len) = "--V87") or (Line (Len - 4 .. Len) = "--V93") ) then - Comment := True; - end if; - - end if; - - -- - -- look for block metacomment start - -- - if Len = 12 - and then Mode /= Mode_93 - and then Line (1 .. 12) = "--START-!V87" then - Block_Comment := True; - end if; - - if Len = 11 - and then Mode /= Mode_93 - and then Line (1 .. 11) = "--START-V93" then - Block_Comment := True; - end if; - - if Len = 11 - and then Mode /= Mode_08 - and then Line (1 .. 11) = "--START-V08" then - Block_Comment := True; - end if; - - -- - -- look for block metacomment end - -- - if Len = 9 and then ( (Line (1 .. 9) = "--END-V93") or (Line (1 .. 9) = "--END-V08") ) then - Block_Comment := False; - end if; - - if Len = 10 and then ( Line (1 .. 10) = "--END-!V87" ) then - Block_Comment := False; - end if; - - -- - -- comment output lines as needed - -- - if Comment then - Put ("-- "); - end if; - - Put_Line (Line (1 .. Len)); - - end loop; -end Ghdlfilter; diff --git a/dist/windows/mcode/ghdlversion.adb b/dist/windows/mcode/ghdlversion.adb deleted file mode 100644 index d2f1c28be..000000000 --- a/dist/windows/mcode/ghdlversion.adb +++ /dev/null @@ -1,30 +0,0 @@ -with Ada.Text_IO; use Ada.Text_IO; -with Ada.Strings.Fixed; use Ada.Strings.Fixed; - -procedure Ghdlversion is - Line : String (1 .. 128); - Len : Natural; - Pos : Natural; - E : Natural; -begin - loop - exit when End_Of_File; - Get_Line (Line, Len); - - -- Search GHDL - Pos := Index (Line (1 .. Len), "GHDL "); - if Pos /= 0 then - Pos := Pos + 5; - E := Pos; - while Line (E) in '0' .. '9' - or Line (E) in 'a' .. 'z' - or Line (E) = '.' - loop - exit when E = Len; - E := E + 1; - end loop; - Put_Line ("!define VERSION """ & Line (Pos .. E - 1) & """"); - return; - end if; - end loop; -end Ghdlversion; diff --git a/dist/windows/mcode/grt-backtraces-impl.ads b/dist/windows/mcode/grt-backtraces-impl.ads deleted file mode 100644 index 81db677ed..000000000 --- a/dist/windows/mcode/grt-backtraces-impl.ads +++ /dev/null @@ -1,3 +0,0 @@ -with Grt.Backtraces.Jit; - -package Grt.Backtraces.Impl renames Grt.Backtraces.Jit; diff --git a/dist/windows/mcode/grt-modules.adb b/dist/windows/mcode/grt-modules.adb deleted file mode 100644 index de5eb79b8..000000000 --- a/dist/windows/mcode/grt-modules.adb +++ /dev/null @@ -1,39 +0,0 @@ --- GHDL Run Time (GRT) - Modules. --- Copyright (C) 2005 Tristan Gingold --- --- GHDL is free software; you can redistribute it and/or modify it under --- the terms of the GNU General Public License as published by the Free --- Software Foundation; either version 2, or (at your option) any later --- version. --- --- GHDL is distributed in the hope that it will be useful, but WITHOUT ANY --- WARRANTY; without even the implied warranty of MERCHANTABILITY or --- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License --- for more details. --- --- You should have received a copy of the GNU General Public License --- along with GCC; see the file COPYING. If not, write to the Free --- Software Foundation, 59 Temple Place - Suite 330, Boston, MA --- 02111-1307, USA. -with System.Storage_Elements; -- Work around GNAT bug. -with Grt.Vcd; -with Grt.Vpi; -with Grt.Waves; -with Grt.Vital_Annotate; -with Grt.Disp_Tree; -with Grt.Disp_Rti; -with Grt.Backtraces; - -package body Grt.Modules is - procedure Register_Modules is - begin - -- List of modules to be registered. - Grt.Disp_Tree.Register; - Grt.Vcd.Register; - Grt.Waves.Register; - Grt.Vpi.Register; - Grt.Vital_Annotate.Register; - Grt.Disp_Rti.Register; - Grt.Backtraces.Register; - end Register_Modules; -end Grt.Modules; diff --git a/dist/windows/mcode/ortho_code-x86-flags.ads b/dist/windows/mcode/ortho_code-x86-flags.ads deleted file mode 100644 index 8915f3122..000000000 --- a/dist/windows/mcode/ortho_code-x86-flags.ads +++ /dev/null @@ -1,2 +0,0 @@ -with Ortho_Code.X86.Flags_Windows; -package Ortho_Code.X86.Flags renames Ortho_Code.X86.Flags_Windows; diff --git a/dist/windows/mcode/winbuild.bat b/dist/windows/mcode/winbuild.bat deleted file mode 100644 index 670b77d1e..000000000 --- a/dist/windows/mcode/winbuild.bat +++ /dev/null @@ -1,20 +0,0 @@ -call windows\compile -if errorlevel 1 goto end - -call windows\complib -if errorlevel 1 goto end - -strip build\ghdl.exe - -gnatmake windows/ghdlversion -o windows/ghdlversion.exe -windows\ghdlversion < ..\..\src\version.ads > windows\version.nsi - -"c:\Program Files\NSIS\makensis" windows\ghdl.nsi -if errorlevel 1 goto end - -exit /b 0 - -:end -echo "Error during compilation" -exit /b 1 - diff --git a/dist/windows/mcode/windows_default_path.adb b/dist/windows/mcode/windows_default_path.adb deleted file mode 100644 index c627356f9..000000000 --- a/dist/windows/mcode/windows_default_path.adb +++ /dev/null @@ -1,68 +0,0 @@ --- Find installation path (using executable). --- Copyright (C) 2002 - 2016 Tristan Gingold --- --- GHDL is free software; you can redistribute it and/or modify it under --- the terms of the GNU General Public License as published by the Free --- Software Foundation; either version 2, or (at your option) any later --- version. --- --- GHDL is distributed in the hope that it will be useful, but WITHOUT ANY --- WARRANTY; without even the implied warranty of MERCHANTABILITY or --- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License --- for more details. --- --- You should have received a copy of the GNU General Public License --- along with GCC; see the file COPYING. If not, write to the Free --- Software Foundation, 59 Temple Place - Suite 330, Boston, MA --- 02111-1307, USA. --- --- As a special exception, if other files instantiate generics from this --- unit, or you link this unit with other files to produce an executable, --- this unit does not by itself cause the resulting executable to be --- covered by the GNU General Public License. This exception does not --- however invalidate any other reasons why the executable file might be --- covered by the GNU Public License. - -with Interfaces.C; use Interfaces.C; -with System; use System; - -package body Windows_Default_Path is - - subtype DWORD is Interfaces.C.Unsigned_Long; - subtype HINSTANCE is Address; - function GetModuleFileName (Inst : HINSTANCE; Buf : Address; Size : DWORD) - return DWORD; - pragma Import (Stdcall, GetModuleFileName, "GetModuleFileNameA"); - - function Get_Windows_Exec_Path return String - is - File : String (1 .. 256); - Size : DWORD; - P : Natural; - begin - -- Get exe file path. - Size := GetModuleFileName (Null_Address, File'Address, File'Length); - if Size = 0 or Size = File'Length then - return "{cannot find install path}\lib"; - end if; - - -- Remove Program file. - P := Natural (Size); - while P > 0 loop - exit when File (P) = '\'; - exit when File (P) = ':' and P = 2; - P := P - 1; - end loop; - if File (P) = '\' and P > 1 then - -- Remove directory - P := P - 1; - while P > 0 loop - exit when File (P) = '\'; - exit when File (P) = ':' and P = 2; - P := P - 1; - end loop; - end if; - - return File (1 .. P); - end Get_Windows_Exec_Path; -end Windows_Default_Path; diff --git a/dist/windows/mcode/windows_default_path.ads b/dist/windows/mcode/windows_default_path.ads deleted file mode 100644 index 9da3be559..000000000 --- a/dist/windows/mcode/windows_default_path.ads +++ /dev/null @@ -1,30 +0,0 @@ --- Find installation path (using executable). --- Copyright (C) 2002 - 2016 Tristan Gingold --- --- GHDL is free software; you can redistribute it and/or modify it under --- the terms of the GNU General Public License as published by the Free --- Software Foundation; either version 2, or (at your option) any later --- version. --- --- GHDL is distributed in the hope that it will be useful, but WITHOUT ANY --- WARRANTY; without even the implied warranty of MERCHANTABILITY or --- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License --- for more details. --- --- You should have received a copy of the GNU General Public License --- along with GCC; see the file COPYING. If not, write to the Free --- Software Foundation, 59 Temple Place - Suite 330, Boston, MA --- 02111-1307, USA. --- --- As a special exception, if other files instantiate generics from this --- unit, or you link this unit with other files to produce an executable, --- this unit does not by itself cause the resulting executable to be --- covered by the GNU General Public License. This exception does not --- however invalidate any other reasons why the executable file might be --- covered by the GNU Public License. - -package Windows_Default_Path is - -- Get the default path from executable name. - -- This function is called during elaboration! - function Get_Windows_Exec_Path return String; -end Windows_Default_Path; diff --git a/dist/windows/shared.psm1 b/dist/windows/shared.psm1 deleted file mode 100644 index 33136941d..000000000 --- a/dist/windows/shared.psm1 +++ /dev/null @@ -1,368 +0,0 @@ -# EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -# vim: tabstop=2:shiftwidth=2:noexpandtab -# kate: tab-width 2; replace-tabs off; indent-width 2; -# -# ============================================================================== -# Authors: Patrick Lehmann -# -# PowerShell Module: The module provides common CmdLets for ... -# -# Description: -# ------------------------------------ -# This PowerShell module provides CommandLets (CmdLets) to ... -# -# ============================================================================== -# Copyright (C) 2016-2017 Patrick Lehmann -# -# GHDL is free software; you can redistribute it and/or modify it under -# the terms of the GNU General Public License as published by the Free -# Software Foundation; either version 2, or (at your option) any later -# version. -# -# GHDL is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or -# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -# for more details. -# -# You should have received a copy of the GNU General Public License -# along with GHDL; see the file COPYING. If not, write to the Free -# Software Foundation, 59 Temple Place - Suite 330, Boston, MA -# 02111-1307, USA. -# ============================================================================== - -[CmdletBinding()] -param( - [Parameter(Mandatory=$true)][string]$WorkingDir, - [Parameter(Mandatory=$true)][Switch]$Hosted -) - -$Module_WorkingDir = $WorkingDir -$Module_Hosted = $Hosted - -function Exit-CompileScript -{ <# - .SYNOPSIS - Undocumented - .DESCRIPTION - Undocumented - .PARAMETER ExitCode - ExitCode of this script run - #> - [CmdletBinding()] - param( - [int]$ExitCode = 0 - ) - cd $Module_WorkingDir - # unload modules - if (-not $Module_Hosted) - { Remove-Module shared -Verbose:$false -Debug:$false - Remove-Module targets -Verbose:$false -Debug:$false - } - exit $ExitCode -} - -function New-LibraryDirectory -{ <# - .SYNOPSIS - Undocumented - - .DESCRIPTION - Undocumented - - .PARAMETER Directory - Undocumented - #> - [CmdletBinding()] - param( - [Parameter(Mandatory=$true)][string]$Directory #, - # [Parameter(Mandatory=$true)][bool]$EnableVerbose - ) - $EnableVerbose = $false - if (Test-Path -Path $Directory) - { $EnableVerbose -and (Write-Host " Directory '$Directory' already exists." ) | Out-Null } - else - { Write-Host " Creating directory '$Directory'." - New-Item -ItemType directory -Path $Directory -ErrorAction SilentlyContinue | Out-Null - } -} - -function Format-VHDLSourceFile -{ <# - .SYNOPSIS - Undocumented - - .DESCRIPTION - Undocumented - - .PARAMETER Version - Undocumented - .PARAMETER InputObject - A object stream is required as an input. - #> - [CmdletBinding()] - param( - [Parameter(Mandatory=$true)][string]$Version, - [Parameter(ValueFromPipeline=$true)]$InputObject - ) - - begin - { $State = 1 - $Version = switch ($Version) - { "87" { 87 } - "93" { 93 } - "02" { 2 } - "08" { 8 } - } - } - - process - { if ($InputObject -is [String]) - { $Line = $InputObject.ToString() - if ($Line.StartsWith("--START-V")) - { $State = switch ($Line.Substring(9, 2)) - { "87" { 87 } - "93" { 93 } - "02" { 2 } - "08" { 8 } - } - } - elseif ($Line.StartsWith("--START-!V")) - { if ($Line.Substring(10, 2) -eq $Version) - { $State = 2 } - } - elseif ($Line.StartsWith("--END-V") -or $Line.StartsWith("--END-!V")) - { $State = 1 } - else - { if ($State -eq 1) - { if ($Line.EndsWith("--V$Version")) - { Write-Output $Line } - elseif (-not (($Line -like "*--V??") -or ($Line.EndsWith("--!V$Version")))) - { Write-Output $Line } - } - elseif ($State -eq $Version) - { Write-Output $Line } - } - } - else - { Write-Host "Unknown pipeline object type." -ForegroundColor Red } - } - - end - { - } -} - -function Restore-NativeCommandStream -{ <# - .SYNOPSIS - This CmdLet gathers multiple ErrorRecord objects and reconstructs outputs - as a single line. - - .DESCRIPTION - This CmdLet collects multiple ErrorRecord objects and emits one String - object per line. - .PARAMETER InputObject - A object stream is required as an input. - .PARAMETER Indent - Indentation string. - #> - [CmdletBinding()] - param( - [Parameter(ValueFromPipeline=$true)] - $InputObject - ) - - begin - { $LineRemainer = "" } - - process - { if ($InputObject -is [System.Management.Automation.ErrorRecord]) - { if ($InputObject.FullyQualifiedErrorId -eq "NativeCommandError") - { Write-Output $InputObject.ToString() } - elseif ($InputObject.FullyQualifiedErrorId -eq "NativeCommandErrorMessage") - { $NewLine = $LineRemainer + $InputObject.ToString() - while (($NewLinePos = $NewLine.IndexOf("`n")) -ne -1) - { Write-Output $NewLine.Substring(0, $NewLinePos) - $NewLine = $NewLine.Substring($NewLinePos + 1) - } - $LineRemainer = $NewLine - } - } - elseif ($InputObject -is [String]) - { Write-Output $InputObject } - else - { Write-Host "Unsupported object in pipeline stream" } - } - - end - { if ($LineRemainer -ne "") - { Write-Output $LineRemainer } - } -} - -function Write-ColoredGCCLine -{ <# - .SYNOPSIS - This CmdLet colors GHDL output lines. - - .DESCRIPTION - This CmdLet colors GHDL output lines. Warnings are prefixed with 'WARNING: ' - in yellow and errors are prefixed with 'ERROR: ' in red. - - .PARAMETER InputObject - A object stream is required as an input. - .PARAMETER SuppressWarnings - Skip warning messages. (Show errors only.) - .PARAMETER Indent - Indentation string. - #> - [CmdletBinding()] - param( - [Parameter(ValueFromPipeline=$true)] - $InputObject, - - [Parameter(Position=1)] - [switch]$SuppressWarnings = $false, - [Parameter(Position=2)] - [string]$Indent = "" - ) - - begin - { $ErrorRecordFound = $false } - - process - { if ($InputObject -is [String]) - { if ($InputObject -match ":\d+:\d+:\swarning:\s") - { if (-not $SuppressWarnings) - { Write-Host "${Indent}WARNING: " -NoNewline -ForegroundColor Yellow - Write-Host $InputObject - } - } - elseif ($InputObject -match ":\d+:\d+:\s") - { $ErrorRecordFound = $true - Write-Host "${Indent}ERROR: " -NoNewline -ForegroundColor Red - Write-Host $InputObject - } - else - { Write-Host "${Indent}$InputObject" } - } - else - { Write-Host "Unsupported object in pipeline stream" } - } - - end - { $ErrorRecordFound } -} - -function Write-ColoredGHDLLine -{ <# - .SYNOPSIS - This CmdLet colors GHDL output lines. - - .DESCRIPTION - This CmdLet colors GHDL output lines. Warnings are prefixed with 'WARNING: ' - in yellow and errors are prefixed with 'ERROR: ' in red. - - .PARAMETER InputObject - A object stream is required as an input. - - .PARAMETER SuppressWarnings - Skip warning messages. (Show errors only.) - #> - [CmdletBinding()] - param( - [Parameter(ValueFromPipeline=$true)] - $InputObject, - - [Parameter(Position=1)] - [switch]$SuppressWarnings = $false, - [Parameter(Position=2)] - [string]$Indent = "" - ) - - begin - { $ErrorRecordFound = $false } - - process - { if ($InputObject -is [String]) - { if ($InputObject -match ":\d+:\d+:warning:\s") - { if (-not $SuppressWarnings) - { Write-Host "${Indent}WARNING: " -NoNewline -ForegroundColor Yellow - Write-Host $InputObject - } - } - elseif ($InputObject -match ":\d+:\d+:\s") - { $ErrorRecordFound = $true - Write-Host "${Indent}ERROR: " -NoNewline -ForegroundColor Red - Write-Host $InputObject - } - else - { Write-Host "${Indent}$InputObject" } - } - else - { Write-Host "Unsupported object in pipeline stream" } - } - - end - { $ErrorRecordFound } -} - -function Write-HostExtended -{ <# - .SYNOPSIS - This CmdLet colors GHDL output lines. - - .DESCRIPTION - This CmdLet colors GHDL output lines. Warnings are prefixed with 'WARNING: ' - in yellow and errors are prefixed with 'ERROR: ' in red. - - .PARAMETER InputObject - A object stream is required as an input. - .PARAMETER Indent - Indentation string. - #> - [CmdletBinding()] - param( - [Parameter(ValueFromPipeline=$true)] - $InputObject, - - [Parameter(Position=1)] - [string]$Indent = "" - ) - - begin - { } - - process - { if ($InputObject -is [String]) - { Write-Host "${Indent}$InputObject" } - else - { Write-Host "Unsupported object in pipeline stream" } - } - - end - { } -} - -function Test-GitRepository -{ <# - .SYNOPSIS - Returns true, if the current working directy is under git control. - #> - - git rev-parse 2>&1 | Out-Null - return $LastExitCode -eq 0 -} - -# export functions -Export-ModuleMember -Function 'Exit-CompileScript' - -Export-ModuleMember -Function 'New-LibraryDirectory' -Export-ModuleMember -Function 'Format-VHDLSourceFile' - -Export-ModuleMember -Function 'Restore-NativeCommandStream' -Export-ModuleMember -Function 'Write-ColoredGCCLine' -Export-ModuleMember -Function 'Write-ColoredGHDLLine' -Export-ModuleMember -Function 'Write-HostExtended' - -Export-ModuleMember -Function 'Test-GitRepository' diff --git a/dist/windows/targets.psm1 b/dist/windows/targets.psm1 deleted file mode 100644 index 1264db247..000000000 --- a/dist/windows/targets.psm1 +++ /dev/null @@ -1,416 +0,0 @@ -# EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -# vim: tabstop=2:shiftwidth=2:noexpandtab -# kate: tab-width 2; replace-tabs off; indent-width 2; -# -# ============================================================================== -# Authors: Patrick Lehmann -# -# PowerShell Module: The module provides build targets for GHDL. -# -# Description: -# ------------------------------------ -# This PowerShell module provides build targets for GHDL. -# -# ============================================================================== -# Copyright (C) 2016-2017 Patrick Lehmann -# -# GHDL is free software; you can redistribute it and/or modify it under -# the terms of the GNU General Public License as published by the Free -# Software Foundation; either version 2, or (at your option) any later -# version. -# -# GHDL is distributed in the hope that it will be useful, but WITHOUT ANY -# WARRANTY; without even the implied warranty of MERCHANTABILITY or -# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -# for more details. -# -# You should have received a copy of the GNU General Public License -# along with GHDL; see the file COPYING. If not, write to the Free -# Software Foundation, 59 Temple Place - Suite 330, Boston, MA -# 02111-1307, USA. -# ============================================================================== - -# TODO: -# check if: -# - program are installed / auto find programs / auto find paths -# - program version - -# configure compiler tools -$Prog_GCC = "gcc.exe" -$Prog_GNATMake = "gnatmake.exe" -$Prog_Strip = "strip.exe" - -# configure output file -$GHDL_Mcode_Name = "ghdl.exe" - -# configure directory structure -$CommonSourceDirName = "src" -$WinMcodeSourceDirName = "dist\windows\mcode" -# $WinLLVMSourceDirName = "dist\windows\llvm" - -# construct file paths -$VersionFileName_In = "version.in" -$VersionFileName_Ads = "version.ads" - - -function Invoke-Clean -{ <# - .SYNOPSIS - This CommandLet removes all generated files. - .PARAMETER BuildDirectory - The directory where all generated files are stored. - .PARAMETER Quiet - Disable outputs to the host console. - #> - [CmdletBinding()] - param( - [string] $BuildDirectory, - [switch] $Quiet = $false - ) - - $EnableDebug = -not $Quiet -and ( $PSCmdlet.MyInvocation.BoundParameters["Debug"]) - $EnableVerbose = -not $Quiet -and ($EnableDebug -or $PSCmdlet.MyInvocation.BoundParameters["Verbose"]) - - -not $Quiet -and (Write-Host "Executing build target 'Clean' ..." -ForegroundColor Yellow) | Out-Null - $EnableVerbose -and (Write-Host " Removing all created files and directories..." ) | Out-Null - if (Test-Path -Path $BuildDirectory) - { $EnableDebug -and (Write-Host " rmdir $BuildDirectory" ) | Out-Null - Remove-Item $BuildDirectory -Force -Recurse -ErrorAction SilentlyContinue - if ($? -eq $false) - { Write-Host "[ERROR]: Cannot remove '$BuildDirectory'." -ForegroundColor Red - return $true - } - } - return $false -} # Invoke-Clean - -function New-BuildDirectory -{ <# - .SYNOPSIS - This CommandLet creates a build directory if not existent, yet. - .PARAMETER BuildDirectory - The directory where all generated files are stored. - .PARAMETER Quiet - Disable outputs to the host console. - #> - [CmdletBinding()] - param( - [string] $BuildDirectory, - [switch] $Quiet = $false - ) - - Write-Host "Executing build target 'BuildDirectory' ..." -ForegroundColor Yellow - if (Test-Path -Path $BuildDirectory -PathType Container) - { -not $Quiet -and (Write-Host " Directory '$BuildDirectory' already exists." ) | Out-Null } - else - { -not $Quiet -and (Write-Host " Creating new directory '$BuildDirectory'." ) | Out-Null - New-Item -ItemType Directory -Path $BuildDirectory -ErrorAction SilentlyContinue | Out-Null - if ($? -eq $false) - { Write-Host "[ERROR]: Cannot create '$BuildDirectory'." -ForegroundColor Red - return $true - } - } - - return $false -} # New-BuildDirectory - -function Get-GHDLVersion -{ <# - .SYNOPSIS - Returns the GHDL version string. - .PARAMETER GHDLRootDir - The repository root directory. - #> - [CmdletBinding()] - param( - [string] $GHDLRootDir - ) - # construct DirectoryPaths - $ConfigureFilePath = $GHDLRootDir + "\configure" - - if (-not (Test-Path -Path $ConfigureFilePath -PathType Leaf)) - { Write-Host "[ERROR]: Version file '$ConfigureFilePath' does not exists." -ForegroundColor Red - return $true - } - $FileContent = Get-Content -Path $ConfigureFilePath - foreach ($Line in $FileContent) - { if ($Line -match 'ghdl_version=\"(.+?)\"') - { return $Matches[2] } - } - Write-Host "[ERROR]: RegExp didn't match in '$ConfigureFilePath'." -ForegroundColor Red - return $true -} # Get-GHDLVersion - -function Invoke-PatchVersionFile -{ <# - .SYNOPSIS - This CommandLet patches the version file to include the git patch state. - .PARAMETER GHDLRootDir - The repository root directory. - .PARAMETER GitBranchName - The branch's name, where HEAD is located. - .PARAMETER GitCommitDataString - The DateTime when HEAD was commited. - .PARAMETER GitCommitHash - The Hash of HEAD. - .PARAMETER Quiet - Disable outputs to the host console. - #> - [CmdletBinding()] - param( - [string] $GHDLRootDir, - [string] $GitBranchName = "unknown", - [string] $GitCommitDataString = "unknown", - [string] $GitCommitHash = "........", - [switch] $Quiet = $false - ) - # construct DirectoryPaths - $SourceDirectory = $GHDLRootDir + "\" + $CommonSourceDirName - $VersionInputFilePath = $SourceDirectory + "\" + $VersionFileName_In - $VersionFilePath = $SourceDirectory + "\" + $VersionFileName_Ads - - Write-Host "Executing build target 'PatchVersionFile' ..." -ForegroundColor Yellow - - if (-not (Test-Path -Path $VersionInputFilePath -PathType Leaf)) - { Write-Host "[ERROR]: Version file '$VersionInputFilePath' does not exists." -ForegroundColor Red - return $true - } - -not $Quiet -and (Write-Host " Patching '$VersionInputFilePath'.") | Out-Null - $FileContent = Get-Content -Path $VersionInputFilePath -Encoding Ascii - if ($? -eq $false) - { Write-Host "[ERROR]: While opening '$VersionInputFilePath'." -ForegroundColor Red - return $true - } - $GHDLVersion = Get-GHDLVersion $GHDLRootDir - $FileContent = $FileContent -Replace "\s@VER@\s", $GHDLVersion - - $FileContent = $FileContent -Replace "\s\(tarball\)\s", " (commit: $GitCommitDataString; git branch: $GitBranchName'; hash: $GitCommitHash) " - - - $FileContent | Out-File $VersionFilePath -Encoding Ascii - if ($? -eq $false) - { Write-Host "[ERROR]: While writing to '$VersionFilePath'." -ForegroundColor Red - return $true - } - - return $false -} # Invoke-PatchVersionFile - - -function Get-CFlags -{ <# - .SYNOPSIS - Returns common ANSI C compiler flags for GCC. - #> - return @( - "-O1", # optimize; level 1 - "-g" # enable debug symbols - ) -} - -function Invoke-CompileCFiles -{ <# - .SYNOPSIS - This CommandLet compiles all C files with GCC. - .PARAMETER GHDLRootDir - The repository root directory. - .PARAMETER BuildDirectory - The directory where all generated files are stored. - .PARAMETER Quiet - Disable outputs to the host console - #> - [CmdletBinding()] - param( - [string] $GHDLRootDir, - [string] $BuildDirectory, - [switch] $Quiet = $false - ) - # construct DirectoryPaths - $SourceDirectory = $GHDLRootDir + "\" + $CommonSourceDirName - - Set-Location $BuildDirectory - Write-Host "Executing build target 'CompileCFiles' ..." -ForegroundColor Yellow - - # list all files to be compiled; add additional CFlags if needed - $SourceFiles = @() - $SourceFiles += New-Object PSObject -Property @{File="grt\grt-cstdio.c"; CFlags=@()} - $SourceFiles += New-Object PSObject -Property @{File="grt\grt-cvpi.c"; CFlags=@()} - $SourceFiles += New-Object PSObject -Property @{File="grt\grt-cdynload.c"; CFlags=@()} - $SourceFiles += New-Object PSObject -Property @{File="grt\config\clock.c"; CFlags=@()} - $SourceFiles += New-Object PSObject -Property @{File="grt\config\win32.c"; CFlags=@('-DWITH_GNAT_RUN_TIME')} - $SourceFiles += New-Object PSObject -Property @{File="ortho\mcode\memsegs_c.c"; CFlags=@()} - - # compile C files - foreach ($SourceFile in $SourceFiles) - { $Parameters = @() - $Parameters += "-c" # compile only - $Parameters += Get-CFlags # append common CFlags - $Parameters += $SourceFile.CFlags - $Parameters += $SourceDirectory + "\" + $SourceFile.File - - # call C compiler - $InvokeExpr = "$Prog_GCC " + ($Parameters -join " ") + " 2>&1" - - Write-Host (" compiling: " + $SourceFile.File) - Write-Debug " call: $InvokeExpr" - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGCCLine -Indent " " - if ($LastExitCode -ne 0) - { Write-Host ("[ERROR]: While compiling '{0}'." -f $SourceFile.File) -ForegroundColor Red - return $true - } - } - - return $false -} # Invoke-CompileCFiles - - -function Invoke-CompileGHDLAdaFiles -{ <# - .SYNOPSIS - This CommandLet compiles all Ada files with GNAT. - .PARAMETER GHDLRootDir - The repository root directory. - .PARAMETER BuildDirectory - The directory where all generated files are stored. - .PARAMETER Quiet - Disable outputs to the host console - #> - [CmdletBinding()] - param( - [string] $GHDLRootDir, - [string] $BuildDirectory, - [switch] $Quiet = $false - ) - # construct DirectoryPaths - $CommonSourceDirectory = $GHDLRootDir + "\" + $CommonSourceDirName - $WinMcodeSourceDirectory = $GHDLRootDir + "\" + $WinMcodeSourceDirName - - Set-Location $BuildDirectory - Write-Host "Executing build target 'CompileGHDLAdaFiles' ..." -ForegroundColor Yellow - - $Parameters = @() - $Parameters += Get-CFlags # append common CFlags - $Parameters += '-gnatn' - - # append all source paths - $Parameters += '-aI' + $WinMcodeSourceDirectory - $Parameters += '-aI' + $CommonSourceDirectory - $Parameters += '-aI' + $CommonSourceDirectory + '\ghdldrv' - $Parameters += '-aI' + $CommonSourceDirectory + '\psl' - $Parameters += '-aI' + $CommonSourceDirectory + '\grt' - $Parameters += '-aI' + $CommonSourceDirectory + '\ortho' - $Parameters += '-aI' + $CommonSourceDirectory + '\ortho\mcode' - $Parameters += '-aI' + $CommonSourceDirectory + '\vhdl' - $Parameters += '-aI' + $CommonSourceDirectory + '\vhdl\translate' - - # top level - $Parameters += 'ghdl_jit' - - # add output filename - $Parameters += '-o' - $Parameters += $GHDL_Mcode_Name - - # append linker parameters - $Parameters += '-largs' - $Parameters += 'grt-cstdio.o' - $Parameters += 'clock.o' - $Parameters += 'grt-cvpi.o' - $Parameters += 'grt-cdynload.o' - $Parameters += 'memsegs_c.o' - $Parameters += 'win32.o' - $Parameters += '-ldbghelp' - $Parameters += '-largs' - # $Parameters += '-Wl,--stack,8404992' - - # call Ada compiler (GNAT) - $InvokeExpr = "$Prog_GNATMake " + ($Parameters -join " ") + " 2>&1" - - Write-Host " compiling with GNAT" - Write-Debug " call: $InvokeExpr" - $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGCCLine -Indent " " - if ($LastExitCode -ne 0) - { Write-Host "[ERROR]: While compiling '$GHDL_Mcode_Name'." -ForegroundColor Red - return $true - } - return $false -} # Invoke-CompileGHDLAdaFiles - - -function Invoke-StripGHDLExecutable -{ <# - .SYNOPSIS - This CommandLet strips the result files. - .PARAMETER BuildDirectory - The directory where all generated files are stored. - .PARAMETER Quiet - Disable outputs to the host console - #> - [CmdletBinding()] - param( - [string] $BuildDirectory, - [switch] $Quiet = $false - ) - - Set-Location $BuildDirectory - Write-Host "Executing build target 'StripGHDLExecutable' ..." -ForegroundColor Yellow - - # call striping tool (strip) - Write-Host " stripping '$GHDL_Mcode_Name'" - Write-Debug " call: $Prog_Strip $GHDL_Mcode_Name" - & $Prog_Strip $GHDL_Mcode_Name - if ($LastExitCode -ne 0) - { Write-Host "[ERROR]: While stripping '$GHDL_Mcode_Name'." -ForegroundColor Red - return $true - } - return $false -} # Invoke-StripGHDLExecutable - -function Test-GHDLVersion -{ <# - .SYNOPSIS - This CommandLet executes ghdl to read the version information - .PARAMETER BuildDirectory - The directory where all generated files are stored. - .PARAMETER Quiet - Disable outputs to the host console - #> - [CmdletBinding()] - param( - [string] $BuildDirectory, - [switch] $Quiet = $false - ) - - Set-Location $BuildDirectory - Write-Host "Executing build target 'GHDLVersion' ..." -ForegroundColor Yellow - - if (-not (Test-Path -Path $GHDL_Mcode_Name -PathType Leaf)) - { Write-Host " GHDL executable '$GHDL_Mcode_Name' does not exists." -ForegroundColor Red - return $true - } - - # call ghdl - $InvokeExpr = "$GHDL_Mcode_Name --version 2>&1" - - Write-Host " executing '$GHDL_Mcode_Name'" - Write-Host " call: $InvokeExpr" - Write-Host " ----------------------------------------" - Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-HostExtended " " - Write-Host " ----------------------------------------" - if ($LastExitCode -ne 0) - { Write-Host "[ERROR]: While executing '$GHDL_Mcode_Name'." -ForegroundColor Red - return $true - } - return $false -} # Test-GHDLVersion - - -# export functions -Export-ModuleMember -Function 'Get-GHDLVersion' -Export-ModuleMember -Function 'Invoke-Clean' -Export-ModuleMember -Function 'New-BuildDirectory' -Export-ModuleMember -Function 'Invoke-PatchVersionFile' -Export-ModuleMember -Function 'Restore-PatchedVersionFile' -Export-ModuleMember -Function 'Invoke-CompileCFiles' -Export-ModuleMember -Function 'Invoke-CompileGHDLAdaFiles' -Export-ModuleMember -Function 'Invoke-StripGHDLExecutable' -Export-ModuleMember -Function 'Test-GHDLVersion' diff --git a/doc/development/CodingStyle.rst b/doc/development/CodingStyle.rst index cef9eb993..a2fc554fd 100644 --- a/doc/development/CodingStyle.rst +++ b/doc/development/CodingStyle.rst @@ -145,7 +145,7 @@ Shell ===== Ubuntu uses `dash` instead of `bash` when a shell script is run. As a result, some functionalities, such as arrays like -``array[1]``, are not supported. Therefore, build scripts in `dist/linux` should not use those functionalities unless +``array[1]``, are not supported. Therefore, build scripts should not use those functionalities unless they are sourced in a `bash` shell. The same applies to the scripts in `testsuite`. Guidelines to edit the documentation diff --git a/doc/ghdl.texi b/doc/ghdl.texi index 5d3a95799..16a2e2d70 100644 --- a/doc/ghdl.texi +++ b/doc/ghdl.texi @@ -86,212 +86,212 @@ This document was generated on Sep 20, 2019 - 03:55. @menu -* About GHDL:: -* Contributing:: -* Copyrights | Licenses:: -* Quick Start Guide:: -* Invoking GHDL:: -* Simulation and runtime:: -* Interfacing to other languages:: -* Releases and sources:: -* Building GHDL from Sources:: -* Precompile Vendor Primitives:: -* Command Reference:: -* Coding Style:: -* Implementation of VHDL:: -* Implementation of VITAL:: -* Roadmap | Future Improvements:: -* Meta:: -* Index: Index<2>. -* Index:: +* About GHDL:: +* Contributing:: +* Copyrights | Licenses:: +* Quick Start Guide:: +* Invoking GHDL:: +* Simulation and runtime:: +* Interfacing to other languages:: +* Releases and sources:: +* Building GHDL from Sources:: +* Precompile Vendor Primitives:: +* Command Reference:: +* Coding Style:: +* Implementation of VHDL:: +* Implementation of VITAL:: +* Roadmap | Future Improvements:: +* Meta:: +* Index: Index<2>. +* Index:: @detailmenu --- The Detailed Node Listing --- About GHDL -* What is VHDL?:: -* What is GHDL?:: -* Who uses GHDL?:: +* What is VHDL?:: +* What is GHDL?:: +* Who uses GHDL?:: Contributing -* Reporting bugs:: -* Requesting enhancements:: -* Improving the documentation:: -* Fork@comma{} modify and pull-request: Fork modify and pull-request. -* Related interesting projects:: +* Reporting bugs:: +* Requesting enhancements:: +* Improving the documentation:: +* Fork@comma{} modify and pull-request: Fork modify and pull-request. +* Related interesting projects:: Copyrights | Licenses -* GNU GPLv2:: -* CC-BY-SA:: -* List of Contributors:: +* GNU GPLv2:: +* CC-BY-SA:: +* List of Contributors:: Quick Start Guide -* The ‘Hello world’ program:: -* The heartbeat program:: -* A full adder:: -* Starting with a design:: -* Starting with your design:: +* The ‘Hello world’ program:: +* The heartbeat program:: +* A full adder:: +* Starting with a design:: +* Starting with your design:: Invoking GHDL -* Design building commands:: -* Design rebuilding commands:: -* Options:: -* Warnings:: -* Diagnostics Control:: -* Library commands:: -* VPI build commands:: -* IEEE library pitfalls:: +* Design building commands:: +* Design rebuilding commands:: +* Options:: +* Warnings:: +* Diagnostics Control:: +* Library commands:: +* VPI build commands:: +* IEEE library pitfalls:: Design building commands -* Analysis [-a]:: -* Elaboration [-e]:: -* Run [-r]:: -* Elaborate and run [--elab-run]:: -* Check syntax [-s]:: -* Analyze and elaborate [-c]:: +* Analysis [-a]:: +* Elaboration [-e]:: +* Run [-r]:: +* Elaborate and run [--elab-run]:: +* Check syntax [-s]:: +* Analyze and elaborate [-c]:: Design rebuilding commands -* Import [-i]:: -* Make [-m]:: -* Generate Makefile [--gen-makefile]:: -* Generate dependency file command [--gen-depends]:: +* Import [-i]:: +* Make [-m]:: +* Generate Makefile [--gen-makefile]:: +* Generate dependency file command [--gen-depends]:: Library commands -* Directory [--dir]:: -* Clean [--clean]:: -* Remove [--remove]:: -* Copy [--copy]:: +* Directory [--dir]:: +* Clean [--clean]:: +* Remove [--remove]:: +* Copy [--copy]:: VPI build commands -* compile [--vpi-compile]:: -* link [--vpi-link]:: -* cflags [--vpi-cflags]:: -* ldflags [--vpi-ldflags]:: -* include dir [--vpi-include-dir]:: -* library dir [--vpi-library-dir]:: +* compile [--vpi-compile]:: +* link [--vpi-link]:: +* cflags [--vpi-cflags]:: +* ldflags [--vpi-ldflags]:: +* include dir [--vpi-include-dir]:: +* library dir [--vpi-library-dir]:: Simulation and runtime -* Simulation options:: -* Export waveforms:: -* Export hierarchy and references:: -* Debugging:: +* Simulation options:: +* Export waveforms:: +* Export hierarchy and references:: +* Debugging:: Debugging -* GNU Debugger (GDB): GNU Debugger GDB. +* GNU Debugger (GDB): GNU Debugger GDB. Interfacing to other languages -* Foreign declarations:: -* Linking foreign object files to GHDL:: -* Wrapping and starting a GHDL simulation from a foreign program:: -* Linking GHDL to Ada/C:: -* Dynamically loading foreign objects from GHDL:: -* Dynamically loading GHDL:: -* Using GRT from Ada:: +* Foreign declarations:: +* Linking foreign object files to GHDL:: +* Wrapping and starting a GHDL simulation from a foreign program:: +* Linking GHDL to Ada/C:: +* Dynamically loading foreign objects from GHDL:: +* Dynamically loading GHDL:: +* Using GRT from Ada:: Foreign declarations -* Restrictions on foreign declarations:: +* Restrictions on foreign declarations:: Releases and sources -* Downloading pre-built packages:: -* Downloading Source Files:: +* Downloading pre-built packages:: +* Downloading Source Files:: Building GHDL from Sources -* Directory structure:: -* mcode backend:: -* LLVM backend:: -* GCC backend:: +* Directory structure:: +* mcode backend:: +* LLVM backend:: +* GCC backend:: mcode backend -* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2. -* GNAT GPL; Windows: GNAT GPL Windows. +* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2. +* GNAT GPL; Windows: GNAT GPL Windows. LLVM backend -* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>. +* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>. Precompile Vendor Primitives -* Supported Vendors Libraries:: -* Supported Simulation and Verification Libraries:: -* Script Configuration:: -* Compiling on Linux:: -* Compiling on Windows:: -* Configuration Files:: +* Supported Vendors Libraries:: +* Supported Simulation and Verification Libraries:: +* Script Configuration:: +* Compiling on Linux:: +* Compiling on Windows:: +* Configuration Files:: Configuration Files -* For Linux; config.sh: For Linux config sh. -* For Windows; config.psm1: For Windows config psm1. -* Selectable Options for the Bash Scripts;: Selectable Options for the Bash Scripts. -* Selectable Options for the PowerShell Scripts;: Selectable Options for the PowerShell Scripts. +* For Linux; config.sh: For Linux config sh. +* For Windows; config.psm1: For Windows config psm1. +* Selectable Options for the Bash Scripts;: Selectable Options for the Bash Scripts. +* Selectable Options for the PowerShell Scripts;: Selectable Options for the PowerShell Scripts. Command Reference -* Environment variables:: -* Misc commands:: -* File commands:: -* GCC/LLVM only commands:: -* Options: Options<2>. -* Passing options to other programs:: +* Environment variables:: +* Misc commands:: +* File commands:: +* GCC/LLVM only commands:: +* Options: Options<2>. +* Passing options to other programs:: Misc commands -* Help [-h]:: -* Display config [--disp-config]:: -* Display standard [--disp-standard]:: -* Version [--version]:: +* Help [-h]:: +* Display config [--disp-config]:: +* Display standard [--disp-standard]:: +* Version [--version]:: File commands -* Pretty print [--pp-html]:: -* Find [-f]:: -* Chop [--chop]:: -* Lines [--lines]:: +* Pretty print [--pp-html]:: +* Find [-f]:: +* Chop [--chop]:: +* Lines [--lines]:: GCC/LLVM only commands -* Bind [--bind]:: -* Link [--link]:: -* List link [--list-link]:: +* Bind [--bind]:: +* Link [--link]:: +* List link [--list-link]:: Implementation of VHDL -* VHDL standards:: -* PSL implementation:: -* Source representation:: -* Library database:: -* Top entity:: -* Using vendor libraries:: +* VHDL standards:: +* PSL implementation:: +* Source representation:: +* Library database:: +* Top entity:: +* Using vendor libraries:: Implementation of VITAL -* VITAL packages:: -* VHDL restrictions for VITAL:: -* Backannotation:: -* Negative constraint calculation:: +* VITAL packages:: +* VHDL restrictions for VITAL:: +* Backannotation:: +* Negative constraint calculation:: Meta -* General guidelines to edit the documentation:: -* Guidelines to edit section ‘Building’:: -* Documentation configuration:: -* Dist:: +* General guidelines to edit the documentation:: +* Guidelines to edit section ‘Building’:: +* Documentation configuration:: +* Dist:: @end detailmenu @end menu @@ -302,9 +302,9 @@ Meta @menu -* What is VHDL?:: -* What is GHDL?:: -* Who uses GHDL?:: +* What is VHDL?:: +* What is GHDL?:: +* Who uses GHDL?:: @end menu @@ -341,7 +341,7 @@ GHDL aims at implementing VHDL as defined by IEEE 1076@footnote{http://ieeexplor Several third party projects are supported: VUnit@footnote{https://vunit.github.io/}, OSVVM@footnote{http://osvvm.org/}, cocotb@footnote{https://github.com/potentialventures/cocotb} (through the VPI interface@footnote{https://en.wikipedia.org/wiki/Verilog_Procedural_Interface}), … @cartouche -@quotation Hint +@quotation Hint Although synthesis is not supported yet, there is some experimental feature to generate RTL netlists (VHDL or EDIF) from synthesisable code. For subcommand @code{--synth} to be available, GHDL must be configured/built with option @code{--enable-synth} (GCC 8.1>= required, due to some new GNAT features which are only available in recent releases). Since this is a proof-of-concept, the output is mostly a dump of an internal structure for now. Therefore, it is not very useful, except for debugging. Moreover, ghdlsynth@footnote{https://github.com/tgingold/ghdlsynth-beta} is a complementary repository that lets GHDL to be loaded by yosys@footnote{http://www.clifford.at/yosys/} as a frontend plugin module, in order to generate bitstreams for some FPGA devices. @@ -380,9 +380,9 @@ If you are more familiar with GHDL, you might start asking yourself how it works While using GHDL, you might find flaws, such as bugs, missing features, typos in the documentation, or topics which still are not covered. In order to improve GHDL, we welcome bug reports, suggestions, and contributions for any aspect of -GHDL. Whether it’s a bug or an enhancement, have a look at the +GHDL. Whether it’s a bug or an enhancement, have a look at the @image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/75d23ce669cbc7993d4d057361ad0c2a3d5d5271/ghdl,,,Open issues,svg} - and + and @image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/e1c1423669df5839910ed47f754b67708d362d8b/ghdl,,,Closed issues,svg} to see if someone already told us about it. You might find a solution there. @@ -390,28 +390,28 @@ if someone already told us about it. You might find a solution there. If you found no information on your topic, please, report so that we are aware! You can reach us through various ways: @image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg} - or open a + or open a @image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/dfb2204df41464917807ba7d4295bf10566f1193/new-issue-yellowgreen,,,Open new issue at GitHub,svg} . @cartouche -@quotation Hint +@quotation Hint Since the development of GHDL started fifteen years ago, multiple platforms have been used as a support for both distribution and getting feedback. However, the development is now centralized in github. @end quotation @end cartouche @cartouche -@quotation Tip +@quotation Tip How To Ask Questions The Smart Way@footnote{www.catb.org/~esr/faqs/smart-questions.html} @end quotation @end cartouche @menu -* Reporting bugs:: -* Requesting enhancements:: -* Improving the documentation:: -* Fork@comma{} modify and pull-request: Fork modify and pull-request. -* Related interesting projects:: +* Reporting bugs:: +* Requesting enhancements:: +* Improving the documentation:: +* Fork@comma{} modify and pull-request: Fork modify and pull-request. +* Related interesting projects:: @end menu @@ -421,32 +421,32 @@ How To Ask Questions The Smart Way@footnote{www.catb.org/~esr/faqs/smart-questio @cartouche -@quotation Tip +@quotation Tip @itemize * -@item +@item If the compiler crashes, this is a bug. Reliable tools never crash. -@item +@item If the compiler emits an error message for a perfectly valid input or does not emit an error message for an invalid input, this may be a bug. -@item +@item If the executable created from your VHDL sources crashes, this may be a bug at runtime or the code itself may be wrong. However, since VHDL has a notion of pointers, an erroneous VHDL program (using invalid pointers for example) may crash. -@item +@item If a compiler message is not clear enough, please tell us. The error messages can be improved, but we do not have enough experience with them. @end itemize @end quotation @end cartouche -Please, report issues of this kind through +Please, report issues of this kind through @image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/bac95e4b3b8c2d7bfbc31a7ce9892272a524ef30/new-bug--report-yellowgreen,,,Open new bug report at GitHub,svg} , as this allows us to categorize issues into groups and to assign developers to them. You can track the issue’s state and see how it’s getting solved. @cartouche -@quotation Important +@quotation Important As suggested in the bug report template, please elaborate a @cite{Minimal (non) Working Example} (MWE@footnote{https://en.wikipedia.org/wiki/Minimal_Working_Example}) prior to sending the report, so that the possible bug source is isolated. Should it fulfill the format requirements of issue-runner@footnote{https://github.com/1138-4EB/issue-runner}, you would be able to test your bug with the latest GHDL version. Please do so in order to ensure that the bug is not solved already. Also, please include enough information in the bug report, for the maintainers to reproduce the problem. The template includes: @@ -454,38 +454,38 @@ Also, please include enough information in the bug report, for the maintainers t @itemize * -@item +@item Operating system and version of GHDL (you can get it with @code{ghdl --version}). -@item +@item Whether you have built GHDL from sources (provide short SHA of the used commit) or used the binary distribution (note which release/tag). @itemize * -@item +@item If you cannot compile, please report which compiler you are using and the version. @end itemize -@item +@item Content of the input files which comprise the MWE -@item +@item Description of the problem: @itemize * -@item +@item Comment explaining whether the MWE should compile or not; if yes, whether or not is should run until the assertion. -@item +@item What you expect to happen and what you actually get. If you know the LRM well enough, please specify which paragraph might not be implemented well. -@item +@item Samples of any log. -@item +@item Anything else that you think would be helpful. @end itemize @end itemize @@ -493,7 +493,7 @@ Anything else that you think would be helpful. @end cartouche @cartouche -@quotation Note +@quotation Note If you don’t know the LRM, be aware that an issue claimed as a bug report may be rejected because there is no bug according to it. GHDL aims at implementing VHDL as defined in IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}. However, some other tools allow constructs which do not fully follow the standard revisions. Therefore, comparisons with other VHDL is not a solid argument. Some of them are supported by GHDL (see @ref{14,,IEEE library pitfalls}), but any such enhancement will have very low priority. @end quotation @end cartouche @@ -505,7 +505,7 @@ If you don’t know the LRM, be aware that an issue claimed as a bug report may @image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/89dd2439936c60b66feb51ba1c0d6a38facef2d8/1561565e8455e49d1382462c9afb48178cccd06f,,,Open new feature request at GitHub,svg?logo=github&style=flat-square&longCache=true} - + @image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg} @@ -529,22 +529,22 @@ maintainers to pull your copy. See @ref{18,,Fork@comma{} modify and pull-request @cartouche -@quotation Tip +@quotation Tip @itemize * -@item -Before starting any modification, you might want to have a look at +@item +Before starting any modification, you might want to have a look at @image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/c3a4692a25333d57bb8c1ce54f97f15c6846ba63/ghdl,,,Open pull requests,svg} - and + and @image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/6497f995f9ef9e87d1fe97a236cebe38959dc915/ghdl,,,Closed pull requests,svg} -, to check which other contributions are being made or have been made. If you observe that the modifications you are about to start might conflict with any other, please +, to check which other contributions are being made or have been made. If you observe that the modifications you are about to start might conflict with any other, please @image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg} - or open a + or open a @image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/82b759af84cd517081b3c031dfbe444f16595fb9/f125673efc1475d3e54f355fd17e00e44587099a,,,Open new Pull Request (PR) at GitHub,svg?logo=github&style=flat-square&longCache=true} to coordinate. -@item +@item See section @ref{1a,,Directory structure} to faster find the location of the sources you need to modify, and/or to know where to place new ones. @end itemize @end quotation @@ -555,36 +555,36 @@ write access to our repositories, the project is hosted at GitHub, which follows flow@footnote{https://help.github.com/articles/github-flow/} . That is: -@enumerate +@enumerate -@item +@item Make a copy (fork@footnote{https://help.github.com/articles/fork-a-repo/}) of the project. -@item +@item Do the changes you wish (edit, add, rename, move and/or delete). -@item +@item When you think that the changes are ready to be merged, notify the maintainers by opening a Pull Request@footnote{https://help.github.com/articles/creating-a-pull-request/} (PR). -@item +@item The maintainers will review the proposed changes and will reply in the corresponding thread if any further modification is required. If so, you can keep adding commits to the same branch, and the PR will be automatically updated. -@item +@item Last, the maintainers will merge your branch. You will be notified, the PR will be closed, and you’ll be allowed to delete the branch, if you want. @end enumerate @cartouche -@quotation Tip +@quotation Tip @itemize * -@item +@item It is recommended to read A successful Git branching model@footnote{http://nvie.com/posts/a-successful-git-branching-model/} for a reference on how maintainers expect to handle multiple branches. However, our actual model is not as exhaustive as explained there. -@item +@item Some commit messages can automatically close@footnote{https://help.github.com/articles/closing-issues-via-commit-messages/} issues. This is a very useful feature, which you are not required to use. However beware that using @cite{fix} anywhere in the commit message can have side effects. If you closed any issue unexpectedly, just reply to it (even if it’s closed) so that maintainers can check it. -@item +@item It is recommended to read @ref{1b,,Coding Style} before contributing modifications to Ada sources. @end itemize @end quotation @@ -616,15 +616,15 @@ If you have an interesting project, please send us feedback or get listed on our @itemize - -@item +@item The GHDL front-end package @code{std.textio}, and the runtime library @code{grt} are given under @ref{20,,GNU GPLv2}. -@item +@item The documentation is given under @ref{21,,CC-BY-SA}. @end itemize @cartouche -@quotation Warning +@quotation Warning As a consequence of the runtime copyright, you are not allowed to distribute an executable produced by GHDL without the VHDL sources. To my mind, this is not a real restriction, since it is pointless to distribute VHDL executable. Please, send a comment (@ref{16,,Requesting enhancements}) if you don’t like this policy. @end quotation @end cartouche @@ -632,7 +632,7 @@ As a consequence of the runtime copyright, you are not allowed to distribute an @itemize - -@item +@item The following packages are copyrighted by third parties (see corresponding sources for more information): @quotation @@ -640,7 +640,7 @@ The following packages are copyrighted by third parties (see corresponding sourc @itemize - -@item +@item These from library @code{ieee} are copyrighted by Institute of Electrical and Electronics Engineers (IEEE)@footnote{https://www.ieee.org} : @quotation @@ -648,18 +648,18 @@ These from library @code{ieee} are copyrighted by Institute of Electrical and El @itemize - -@item +@item @code{numeric_bit} and @code{numeric_std}: the source files may be distributed without change, except as permitted by the standard; these may not be sold or distributed for profit. [see also IEEE 1076.3@footnote{http://ieeexplore.ieee.org/document/592543/} ] -@item +@item @code{std_logic_1164}, @code{Math_Real} and @code{Math_Complex} -@item +@item @code{VITAL_Primitives}, @code{VITAL_Timing} and @code{VITAL_Memory} [see also IEEE 1076.4@footnote{http://ieeexplore.ieee.org/document/954750/} ] @end itemize @end quotation -@item +@item The following sources may be used and distributed without restriction, provided that the copyright statements are not removed from the files and that any derivative work contains the copyright notice. @quotation @@ -667,10 +667,10 @@ The following sources may be used and distributed without restriction, provided @itemize - -@item +@item @code{synopsys} directory: @code{std_logic_arith}, @code{std_logic_signed}, @code{std_logic_unsigned} and @code{std_logic_textio} are copyrighted by Synopsys@comma{} Inc.@footnote{https://www.synopsys.com/} -@item +@item @code{mentor} directory: @code{std_logic_arith} is copyrighted by Mentor Graphics@footnote{https://www.mentor.com} @end itemize @end quotation @@ -679,9 +679,9 @@ The following sources may be used and distributed without restriction, provided @end itemize @menu -* GNU GPLv2:: -* CC-BY-SA:: -* List of Contributors:: +* GNU GPLv2:: +* CC-BY-SA:: +* List of Contributors:: @end menu @@ -706,13 +706,13 @@ This is a free documentation; you can redistribute it and/or modify it under the @itemize - -@item +@item @strong{Attribution}: you must provide the name of the creator and attribution parties (more info@footnote{https://wiki.creativecommons.org/wiki/License_Versions#Detailed_attribution_comparison_chart}), a copyright notice, a license notice, a disclaimer notice, a link to the material, a link to the license and indicate if changes were made (see marking guide@footnote{https://wiki.creativecommons.org/wiki/Best_practices_for_attribution#This_is_a_good_attribution_for_material_you_modified_slightly} and more info@footnote{https://wiki.creativecommons.org/wiki/License_Versions#Modifications_and_adaptations_must_be_marked_as_such}). You may do so in any reasonable manner, but not in any way that suggests we endorse you or your use. -@item +@item @strong{ShareAlike}: if you remix, transform, or build upon the material, you must distribute your contributions under the same license as the original. -@item +@item @strong{No additional restrictions}: you may not apply legal terms or technological measures that legally restrict others from doing anything the license permits. @end itemize @@ -724,7 +724,7 @@ See CC-BY-SA-4.0 Legal Code@footnote{https://creativecommons.org/licenses/by-sa/ -@multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} +@multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} @headitem Contributor @footnote{ @@ -829,7 +829,7 @@ Debian packaging -Only those who made substantial contributions are shown in the table above, but many others contributed with minor patches. You can find a list at +Only those who made substantial contributions are shown in the table above, but many others contributed with minor patches. You can find a list at @image{/Users/gingold/devel/ghdl/doc/build/doctrees/images/6f8cd1a5e6840820b92af0cbdd95adc63019ebd5/ghdl,,,Contributors,svg} @@ -858,11 +858,11 @@ __________________________________________________________________ In this chapter, you will learn how to use @cite{GHDL} by working on a few examples. @menu -* The ‘Hello world’ program:: -* The heartbeat program:: -* A full adder:: -* Starting with a design:: -* Starting with your design:: +* The ‘Hello world’ program:: +* The heartbeat program:: +* A full adder:: +* Starting with a design:: +* Starting with your design:: @end menu @@ -894,14 +894,14 @@ end behaviour; @end example @cartouche -@quotation Tip +@quotation Tip @itemize * -@item +@item Both @code{.vhdl} and @code{.vhd} extensions are used for VHDL source files, while @code{.v} is used for Verilog. -@item +@item Unless you use especial characters, either @cite{UTF-8} or @cite{ISO-8859-1} encodings can be used. However, if you do, the latter should be used. The standard defines ASCII (7-bit encoding) or ISO Latin-1 (ISO-8859-1) as default. However, GHDL has a relaxing option, @ref{29,,--mb-comments} (multi byte), to allow UTF-8 or other encodings in comments. @end itemize @end quotation @@ -910,13 +910,13 @@ Unless you use especial characters, either @cite{UTF-8} or @cite{ISO-8859-1} enc @itemize - -@item +@item First, you have to compile the file; this is called @cite{analysis} of a design file in @cite{VHDL} terms. Run @code{ghdl -a hello.vhdl} in the @cite{shell}. This command creates or updates a file @code{work-obj93.cf}, which describes the library @code{work}. -@item +@item Then, run @code{ghdl -e hello_world} in the @cite{shell}. Option @ref{2a,,-e} means @emph{elaborate}, which is used to build a design, with the @code{hello_world} entity at the top of the hierarchy. -@item +@item Last, you can directly launch the simulation running @code{ghdl -r hello_world} in the @cite{shell}. The result of the simulation will be shown on screen: @end itemize @@ -925,26 +925,26 @@ Hello world! @end example @cartouche -@quotation Hint +@quotation Hint If a GCC/LLVM variant of @cite{GHDL} is used: @itemize * -@item +@item @cite{Analysis} generates a file, @code{hello.o}, which is the object file corresponding to your @cite{VHDL} program. This is not created with mcode. -@item +@item The elaboration step is mandatory after running the analysis and prior to launching the simulation. This will generate an executable binary named @code{hello_world}. -@item +@item As a result, @ref{2b,,-r} is just a passthrough to the binary generated in the @cite{elaboration}. Therefore, the executable can be run directly, @code{./hello_world}. See @ref{2b,,-r} for more informartion. @end itemize @end quotation @end cartouche @cartouche -@quotation Hint +@quotation Hint @ref{2a,,-e} can be bypassed with mcode, since @ref{2b,,-r} actually elaborates the design and saves it on memory before running the simulation. But you can still use it to check for some elaboration problems. @end quotation @end cartouche @@ -1066,7 +1066,7 @@ end behav; As usual, you should analyze the design, @code{ghdl -a adder_tb.vhdl}. @cartouche -@quotation Hint +@quotation Hint Then, if required, elaborate the testbench: @code{ghdl -e adder_tb}. You do not need to specify which object files are required, since GHDL knows them and automatically adds them. @end quotation @end cartouche @@ -1093,12 +1093,12 @@ Unless you are only studying VHDL, you will work with larger designs than the on @itemize - -@item +@item First, untar the sources: @code{tar zxvf dlx.tar.gz}. @end itemize @cartouche -@quotation Hint +@quotation Hint In order not to pollute the sources with the library, it is a good idea to create a @code{work/} subdirectory for the @cite{WORK} library. To any GHDL commands, we will add the @code{--workdir=work} option, so that all files generated by the compiler (except the executable) will be placed in this directory. @example @@ -1111,15 +1111,15 @@ $ mkdir work @itemize * -@item +@item Then, we will run the @code{dlx_test_behaviour} design. We need to analyze all the design units for the design hierarchy, in the correct order. GHDL provides an easy way to do this, by importing the sources, @code{ghdl -i --workdir=work *.vhdl}. -@item +@item GHDL knows all the design units of the DLX, but none of them has been analyzed. Run the make option, @code{ghdl -m --workdir=work dlx_test_behaviour}, which analyzes and elaborates a design. This creates many files in the @code{work/} directory, and (GCC/LLVM only) the @code{dlx_test_behaviour} executable in the current directory. @end itemize @cartouche -@quotation Hint +@quotation Hint The simulation needs to have a DLX program contained in the file @code{dlx.out}. This memory image will be loaded in the DLX memory. Just take one sample: @code{cp test_loop.out dlx.out}. @end quotation @end cartouche @@ -1127,7 +1127,7 @@ The simulation needs to have a DLX program contained in the file @code{dlx.out}. @itemize * -@item +@item Now, you can run the test suite: @code{ghdl -r --workdir=work dlx_test_behaviour}. The test bench monitors the bus and displays each instruction executed. It finishes with an assertion of severity level note: @example @@ -1135,7 +1135,7 @@ dlx-behaviour.vhdl:395:11:(assertion note): TRAP instruction encountered, execution halted @end example -@item +@item Lastly, since the clock is still running, you have to manually stop the program with the @code{C-c} key sequence. This behavior prevents you from running the test bench in batch mode. However, you may force the simulator to stop when an assertion above or equal a certain severity level occurs. To do so, call run with this option instead: @code{ghdl -r --workdir=work dlx_test_behaviour --assert-level=note`}. With this option, the program stops just after the previous message: @example @@ -1146,26 +1146,26 @@ error: assertion failed @end itemize @cartouche -@quotation Tip +@quotation Tip If you want to make room on your hard drive, you can either: @itemize * -@item +@item Clean the design library with the GHDL command @code{ghdl --clean --workdir=work}. This removes the executable and all the object files. If you want to rebuild the design at this point, just do the make command as shown above. -@item +@item Remove the design library with the GHDL command @code{ghdl --remove --workdir=work}. This removes the executable, all the object files and the library file. If you want to rebuild the design, you have to import the sources again and make the design. -@item +@item Remove the @code{work/} directory: @code{rm -rf work}. Only the executable is kept. If you want to rebuild the design, create the @code{work/} directory, import the sources, and make the design. @end itemize @end quotation @end cartouche @cartouche -@quotation Warning +@quotation Warning Sometimes, a design does not fully follow the VHDL standards. For example it might use the badly engineered @code{std_logic_unsigned} package. GHDL supports this VHDL dialect through some options: @code{--ieee=synopsys -fexplicit}. See section @ref{14,,IEEE library pitfalls}, for more details. @end quotation @end cartouche @@ -1181,25 +1181,25 @@ tips are: @itemize * -@item +@item Don’t forget to select the VHDL standard you want to use. The default is @code{--std=93c} which means VHDL-93 with some relaxed rules. Use @code{--std=08} for VHDL-2008 (albeit not fully implemented). All the units must be analyzed with the same standard. -@item +@item Use @code{--work=LIB_NAME} to analyze files into the @code{LIB_NAME} library. If you analyze other files from a different directory, give the path of the @code{LIB_NAME} library using @code{-P/path/to/name/directory/}. -@item +@item Use @code{--ieee=synopsys} if your design depends on the non-standard ieee library. -@item +@item Use @code{-fexplicit} if needed. -@item +@item Use the same options for elaboration. @end itemize @@ -1227,27 +1227,27 @@ The form of the @code{ghdl} command is @code{ghdl command [options...]}. There a @itemize * -@item +@item The first argument selects the command. The options are used to slightly modify the action. -@item +@item No option is allowed before the command. Except for the run command, no option is allowed after a filename or a unit name. @end itemize @cartouche -@quotation Hint +@quotation Hint If the number of options is large and the command line length is beyond the system limit, you can use a response file. An argument that starts with a @code{@@} is considered as a response file; it is replaced by arguments read from the file (separated by blanks and end of line). @end quotation @end cartouche @cartouche -@quotation Hint +@quotation Hint Only the most common commands and options are shown here. For the most advanced and experimental features see section @ref{f,,Command Reference}. @end quotation @end cartouche @cartouche -@quotation Warning +@quotation Warning During analysis and elaboration GHDL may read the @code{std} and @code{ieee} files. The location of these files is based on the prefix, which is (in order of priority): @quotation @@ -1255,16 +1255,16 @@ During analysis and elaboration GHDL may read the @code{std} and @code{ieee} fil @itemize * -@item +@item the @code{--PREFIX} command line option -@item -the +@item +the @geindex GHDL_PREFIX @geindex environment variable; GHDL_PREFIX @ref{34,,GHDL_PREFIX} environment variable -@item +@item a built-in default path. It is a hard-coded path on GNU/Linux, and it corresponds to the value of the @code{HKLM\Software\Ghdl\Install_Dir} registry entry on Windows. @end itemize @@ -1274,14 +1274,14 @@ You should use the @ref{35,,--disp-config} command to display and debug installa @end cartouche @menu -* Design building commands:: -* Design rebuilding commands:: -* Options:: -* Warnings:: -* Diagnostics Control:: -* Library commands:: -* VPI build commands:: -* IEEE library pitfalls:: +* Design building commands:: +* Design rebuilding commands:: +* Options:: +* Warnings:: +* Diagnostics Control:: +* Library commands:: +* VPI build commands:: +* IEEE library pitfalls:: @end menu @@ -1295,12 +1295,12 @@ The most commonly used commands of GHDL are those to analyze and elaborate a des @geindex cmd analysis @menu -* Analysis [-a]:: -* Elaboration [-e]:: -* Run [-r]:: -* Elaborate and run [--elab-run]:: -* Check syntax [-s]:: -* Analyze and elaborate [-c]:: +* Analysis [-a]:: +* Elaboration [-e]:: +* Run [-r]:: +* Elaborate and run [--elab-run]:: +* Check syntax [-s]:: +* Analyze and elaborate [-c]:: @end menu @@ -1335,7 +1335,7 @@ Re-analyzes all the configurations, entities, architectures and package declarat @itemize * -@item +@item The elaboration command, @ref{2a,,-e}, must be followed by a name of either: @quotation @@ -1343,13 +1343,13 @@ The elaboration command, @ref{2a,,-e}, must be followed by a name of either: @itemize * -@item +@item a configuration unit -@item +@item an entity unit -@item +@item an entity unit followed by a name of an architecture unit @end itemize @end quotation @@ -1360,14 +1360,14 @@ Name of the units must be a simple name, without any dot. You can select the nam @itemize * -@item +@item If the GCC/LLVM backend was enabled during the compilation of GHDL, the elaboration command creates an executable containing the code of the VHDL sources, the elaboration code and simulation code to execute a design hierarchy. The executable is created in the current directory and the the filename is the name of the primary unit, or for the latter case, the concatenation of the name of the primary unit, a dash, and the name of the secondary unit (or architecture). Option @code{-o} followed by a filename can override the default executable filename. -@item +@item If mcode is used, this command elaborates the design but does not generate anything. Since the run command also elaborates the design, this can be skipped. @cartouche -@quotation Warning +@quotation Warning This elaboration command is not a complete elaboration in terms of the VHDL standard. The actual elaboration is performed at runtime. Therefore, in order to get a complete VHDL elaboration without running the simulation, @code{ghdl --elab-run --no-run} is required. @end quotation @end cartouche @@ -1390,10 +1390,10 @@ Runs/simulates a design. The options and arguments are the same as for the elabo @itemize * -@item +@item GGC/LLVM: simply, the filename of the executable is determined and it is executed. Options are ignored. You may also directly execute the program. The executable must be in the current directory. -@item +@item mcode: the design is elaborated and the simulation is launched. As a consequence, you must use the same options used during analysis. @end itemize @@ -1402,13 +1402,13 @@ This command exists for three reasons: @itemize * -@item +@item You are using GCC/LLVM, but you don’t need to create the executable program name. -@item +@item It is coherent with the @ref{38,,-a} and @ref{2a,,-e} commands. -@item +@item It works with mcode implementation, where the executable code is generated in memory. @end itemize @@ -1455,7 +1455,7 @@ Analyze files but do not generate code. This command may be used to check the sy @end deffn @cartouche -@quotation Hint +@quotation Hint With GCC/LLVM, @ref{2a,,-e} should be used, and @ref{2b,,-r} with mcode. @end quotation @end cartouche @@ -1469,27 +1469,27 @@ The advantages over the traditional approach (analyze and then elaborate) are: @itemize * -@item +@item The compilation cycle is achieved in one command. -@item +@item Since the files are only parsed once, the compilation cycle may be faster. -@item +@item You don’t need to know an analysis order. -@item +@item This command produces a smaller executable, since unused units and subprograms do not generate code. @end itemize @cartouche -@quotation Hint +@quotation Hint However, you should know that most of the time is spent in code generation and the analyze and elaborate command generates code for all units needed, even units of @code{std} and @code{ieee} libraries. Therefore, according to the design, the time for this command may be higher than the time for the analyze command followed by the elaborate command. @end quotation @end cartouche @cartouche -@quotation Warning +@quotation Warning This command is still under development. In case of problems, you should go back to the traditional way. @end quotation @end cartouche @@ -1504,10 +1504,10 @@ Analyzing and elaborating a design consisting of several files can be tricky, du @geindex cmd importing files @menu -* Import [-i]:: -* Make [-m]:: -* Generate Makefile [--gen-makefile]:: -* Generate dependency file command [--gen-depends]:: +* Import [-i]:: +* Make [-m]:: +* Generate Makefile [--gen-makefile]:: +* Generate dependency file command [--gen-depends]:: @end menu @@ -1524,14 +1524,14 @@ Analyzing and elaborating a design consisting of several files can be tricky, du All the files specified in the command line are scanned, parsed and added into the libraries but as not yet analyzed. No object files are created. Its purpose is to localize design units in the design files. The make command will then be able to recursively build a hierarchy from an entity name or a configuration name. @cartouche -@quotation Hint +@quotation Hint @itemize * -@item +@item Note that all the files are added to the work library. If you have many libraries, you must use the command for each library. -@item +@item Since the files are parsed, there must be correct files. However, since they are not analyzed, many errors are tolerated by this command. @end itemize @end quotation @@ -1556,10 +1556,10 @@ Analyze automatically outdated files and elaborate a design. The primary unit de @itemize * -@item +@item With option @ref{4a,,--bind}, GHDL will stop before the final linking step. This is useful when the main entry point is not GHDL and you’re linking GHDL object files into a foreign program. -@item +@item With option @ref{4b,,-f} (force), GHDL analyzes all the units of the work library needed to create the design hierarchy. Outdated units are recompiled. This is useful if you want to compile a design hierarchy with new compilation flags (for example, to add the @emph{-g} debugging option). @end itemize @@ -1618,7 +1618,7 @@ Theses rules can then be integrated in another Makefile. @geindex 1076.3 @cartouche -@quotation Hint +@quotation Hint Besides the options described below, @cite{GHDL} passes any debugging options (those that begin with @code{-g}) and optimizations options (those that begin with -O@footnote{https://docs.python.org/3.6/using/cmdline.html#cmdoption-o} or @ref{4b,,-f}) to @cite{GCC}. Refer to the @cite{GCC} manual for details. @end quotation @end cartouche @@ -1729,7 +1729,7 @@ package. See section @ref{14,,IEEE library pitfalls}, for an example. @end deffn @cartouche -@quotation Warning +@quotation Warning This option is not set by default. I don’t think this option is a good feature, because it breaks the encapsulation rule. When set, an operator can be silently overridden in another package. You’d do better to fix your design and use the @code{numeric_std} package. @end quotation @end cartouche @@ -1803,13 +1803,13 @@ Be verbose. For example, for analysis, elaboration and make commands, GHDL displ Some constructions are not erroneous but dubious. Warnings are diagnostic messages that report such constructions. Some warnings are reported only during analysis, others during elaboration. @cartouche -@quotation Hint +@quotation Hint You could disable a warning by using the @code{--warn-no-XXX} or @code{-Wno-XXX} instead of @code{--warn-XXX} or @code{-WXXX}. @end quotation @end cartouche @cartouche -@quotation Hint +@quotation Hint The warnings @code{-Wbinding}, @code{-Wlibrary}, @code{-Wshared}, @code{-Wpure}, @code{-Wspecs}, @code{-Whide}, @code{-Wport} are enabled by default. @@ -2010,10 +2010,10 @@ Furthermore, GHDL provides a few commands which act on a library: @geindex cmd library directory @menu -* Directory [--dir]:: -* Clean [--clean]:: -* Remove [--remove]:: -* Copy [--copy]:: +* Directory [--dir]:: +* Clean [--clean]:: +* Remove [--remove]:: +* Copy [--copy]:: @end menu @@ -2088,12 +2088,12 @@ command before its execution. @geindex cmd VPI compile @menu -* compile [--vpi-compile]:: -* link [--vpi-link]:: -* cflags [--vpi-cflags]:: -* ldflags [--vpi-ldflags]:: -* include dir [--vpi-include-dir]:: -* library dir [--vpi-library-dir]:: +* compile [--vpi-compile]:: +* link [--vpi-link]:: +* cflags [--vpi-cflags]:: +* ldflags [--vpi-ldflags]:: +* include dir [--vpi-include-dir]:: +* library dir [--vpi-library-dir]:: @end menu @@ -2342,7 +2342,7 @@ end good; @geindex Math_Complex @cartouche -@quotation Hint +@quotation Hint The @code{ieee} math packages (@code{math_real} and @code{math_complex}) provided with @cite{GHDL} are fully compliant with the @cite{IEEE} standard. @end quotation @end cartouche @@ -2364,10 +2364,10 @@ The @code{ieee} math packages (@code{math_real} and @code{math_complex}) provide @menu -* Simulation options:: -* Export waveforms:: -* Export hierarchy and references:: -* Debugging:: +* Simulation options:: +* Export waveforms:: +* Export hierarchy and references:: +* Debugging:: @end menu @@ -2401,7 +2401,7 @@ all options available, including the debugging ones. Set value @cite{VALUE} to generic with name @cite{GENERIC}. @cartouche -@quotation Warning +@quotation Warning This is currently a run option; but in the future it will be deprecated to become an elaboration option only. @end quotation @@ -2623,39 +2623,39 @@ dumps only signals whose base type is of the following: @itemize * -@item +@item types defined in the @code{std.standard} package: @itemize * -@item +@item @code{bit} -@item +@item @code{bit_vector} @end itemize -@item +@item types defined in the @code{ieee.std_logic_1164} package: @itemize * -@item +@item @code{std_ulogic} -@item +@item @code{std_logic} (because it is a subtype of @code{std_ulogic}) -@item +@item @code{std_ulogic_vector} -@item +@item @code{std_logic_vector} @end itemize -@item +@item any integer type @end itemize @@ -2717,16 +2717,16 @@ design. @cite{KIND} is optional, but if set must be one of: @itemize * -@item +@item @code{none} Do not display hierarchy. Same as if the option was not present. -@item +@item @code{inst} Display entities, architectures, instances, blocks and generates statements. -@item +@item @code{proc} Like @code{inst} but also display processes. -@item +@item @code{port} Like @code{proc} but display ports and signals too. If @cite{KIND} is not specified, the hierarchy is displayed with the @code{port} mode. @@ -2751,10 +2751,10 @@ The set of @code{file} are analyzed, and then, if the analysis is successful, ht @itemize * -@item +@item If the option @code{--format=html2} is specified, then the generated html files follow the HTML 2.0 standard, and colours are specified with @cite{} tags. However, colours are hard-coded. -@item +@item If the option @code{--format=css} is specified, then the generated html files follow the HTML 4.0 standard, and use the CSS-1 file @code{ghdl.css} to specify colours. This file is generated only if it does not already exist (it is never overwritten) and can be customized by the user to change colours or appearance. Refer to a generated file and its comments for more information. @end itemize @end deffn @@ -2774,14 +2774,14 @@ Outputs an XML representation of the decorated syntax tree for the input file an @end deffn @cartouche -@quotation Warning +@quotation Warning @itemize * -@item +@item The AST slightly changes from time to time (particularly when new nodes are added for new language features), so be liberal in what is allowed by your tool. Also, the XML can be quite large so consider it only during prototyping. -@item +@item Note that at this time there is no XML dump of the elaborated design. @end itemize @end quotation @@ -2879,7 +2879,7 @@ Allow @code{--work=std} @end deffn @menu -* GNU Debugger (GDB): GNU Debugger GDB. +* GNU Debugger (GDB): GNU Debugger GDB. @end menu @@ -2891,7 +2891,7 @@ Allow @code{--work=std} @geindex `__ghdl_fatal` @cartouche -@quotation Warning +@quotation Warning Debugging VHDL programs using @cite{GDB} is possible only with GCC/LLVM. @end quotation @end cartouche @@ -2939,7 +2939,7 @@ You can define a subprogram in a foreign language (such as @cite{C} or @cite{Ada}) and import it into a VHDL design. @cartouche -@quotation Hint +@quotation Hint VHPIDIRECT is the simplest way to call C code from VHDL. VHPI is a complex API to interface C and VHDL, which allows to inspect the hierarchy, set callbacks and/or assign signals. GHDL does not support VHPI. For these kind of features, it is suggested to use VPI instead (see @ref{86,,VPI build commands}). @@ -2947,13 +2947,13 @@ suggested to use VPI instead (see @ref{86,,VPI build commands}). @end cartouche @menu -* Foreign declarations:: -* Linking foreign object files to GHDL:: -* Wrapping and starting a GHDL simulation from a foreign program:: -* Linking GHDL to Ada/C:: -* Dynamically loading foreign objects from GHDL:: -* Dynamically loading GHDL:: -* Using GRT from Ada:: +* Foreign declarations:: +* Linking foreign object files to GHDL:: +* Wrapping and starting a GHDL simulation from a foreign program:: +* Linking GHDL to Ada/C:: +* Dynamically loading foreign objects from GHDL:: +* Dynamically loading GHDL:: +* Using GRT from Ada:: @end menu @@ -2997,7 +2997,7 @@ upper-case keyword followed by one or more blanks). The linkage name of the subprogram follows. @menu -* Restrictions on foreign declarations:: +* Restrictions on foreign declarations:: @end menu @@ -3111,7 +3111,7 @@ process is not trivial: you have to elaborate your program and your @cite{VHDL} design. @cartouche -@quotation Hint +@quotation Hint If the foreign language is C, this procedure is equivalent to the one described in @ref{c8,,Linking foreign object files to GHDL}, which is easier. Thus, this procedure is explained for didactic purposes. When suitable, we suggest to use @code{-e} instead @@ -3205,7 +3205,7 @@ GHDL simulations in parallel. @cartouche -@quotation Warning +@quotation Warning This topic is only for advanced users who know how to use @cite{Ada} and @cite{GNAT}. This is provided only for reference; we have tested this once before releasing @cite{GHDL} 0.19, but this is not checked at @@ -3316,8 +3316,8 @@ Root instance name: counter @menu -* Downloading pre-built packages:: -* Downloading Source Files:: +* Downloading pre-built packages:: +* Downloading Source Files:: @end menu @@ -3329,7 +3329,7 @@ Root instance name: counter @c TODO How to extend this directive to use `.. only:: html` and `.. only:: html` in the python code passed to it? @cartouche -@quotation Error +@quotation Error Unable to execute python code at Releases.rst:108: @@ -3349,7 +3349,7 @@ Unable to execute python code at Releases.rst:108: @cartouche -@quotation Hint +@quotation Hint All the following procedures will retrieve the latest development version of GHDL, i.e., the @cite{master} branch at github.com/ghdl/ghdl@footnote{https://github.com/ghdl/ghdl}. We do our best to keep it stable, but bugs can seldom be published. See @cite{HINT} boxes below for instructions to get older releases. @end quotation @@ -3363,7 +3363,7 @@ choose your desired format/version: @cartouche -@quotation Hint +@quotation Hint To download a specific version of GHDL, use this alternative URL, where @code{} is @code{tar.gz} or @code{zip}: @code{https://codeload.github.com/ghdl/ghdl//}. @end quotation @end cartouche @@ -3377,7 +3377,7 @@ account and have already uploaded an OpenSSH public key to GitHub, otherwise use HTTPS if you have no account or you want to use login credentials. -@multitable {xxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} +@multitable {xxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} @headitem Protocol @@ -3406,7 +3406,7 @@ SSH @cartouche -@quotation Hint +@quotation Hint Execute @code{git checkout -b stable } after @code{git clone}, to checkout a specific version of GHDL. @end quotation @end cartouche @@ -3430,7 +3430,7 @@ git remote rename origin github @end example @cartouche -@quotation Note +@quotation Note Executing the following instructions in Windows Command Prompt (@code{cmd.exe}) won’t function or will result in errors! All Windows command line instructions are intended for @code{Windows PowerShell}, if not marked otherwise. @code{Windows PowerShell} @@ -3463,7 +3463,7 @@ offers HTTPS and SSH as transfer protocols. See the @ref{d8,,Downloading Source page for further details. @cartouche -@quotation Important +@quotation Important Since GHDL is written in @cite{Ada}, independently of the code generator you use, the a compiler is required. Most GNU/Linux package managers provide a package named @code{gcc-ada} or @code{gcc-gnat}. Alternatively, @cite{GNU Ada compiler}, @cite{GNAT GPL}, @@ -3480,20 +3480,20 @@ GHDL currently supports three different back-ends (code generators): @itemize * -@item +@item mcode - built-in x86 (or x86_64) code generator -@item +@item GCC - Gnu Compiler Collection (gcc.gnu.org@footnote{http://gcc.gnu.org/}) -@item +@item LLVM - Low-Level Virtual Machine (llvm.org@footnote{http://llvm.org/}) @end itemize Here is a short comparison, so that you can choose the one you want to use: -@multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} +@multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} @headitem Back-end @@ -3515,13 +3515,13 @@ Cons @itemize * -@item +@item Very easy to build -@item +@item Very quick analysis -@item +@item Can handle very large designs @end itemize @@ -3530,10 +3530,10 @@ Can handle very large designs @itemize * -@item +@item Simulation is slower -@item +@item x86_64/i386 only @end itemize @@ -3546,16 +3546,16 @@ x86_64/i386 only @itemize * -@item +@item Generated code is faster -@item +@item Generated code can be debugged (with @code{-g}) -@item +@item Easier to build than GCC -@item +@item Ported to many platforms (x86, x86_64, armv7/aarch64) @end itemize @@ -3564,7 +3564,7 @@ Ported to many platforms (x86, x86_64, armv7/aarch64) @itemize * -@item +@item Build is more complex than mcode @end itemize @@ -3577,13 +3577,13 @@ Build is more complex than mcode @itemize * -@item +@item Generated code is faster (particularly with @code{-O} or @code{-O2}) -@item +@item Generated code can be debugged (with @code{-g}) -@item +@item Ported to many platforms (x86, x86_64, PowerPC, SPARC) @end itemize @@ -3592,13 +3592,13 @@ Ported to many platforms (x86, x86_64, PowerPC, SPARC) @itemize * -@item +@item Build is even more complex -@item +@item Analysis can take time (particularly for large units) -@item +@item Code coverage collection (@code{gcov}) is unique to GCC @end itemize @@ -3617,10 +3617,10 @@ Code coverage collection (@code{gcov}) is unique to GCC @c # define a hard kine break for HTML @menu -* Directory structure:: -* mcode backend:: -* LLVM backend:: -* GCC backend:: +* Directory structure:: +* mcode backend:: +* LLVM backend:: +* GCC backend:: @end menu @@ -3632,10 +3632,10 @@ Code coverage collection (@code{gcov}) is unique to GCC @itemize * -@item +@item @code{src}: sources of GHDL, all of them in Ada. -@item +@item @code{libraries}: mostly third party libraries such as, @cite{ieee}, @cite{mentor}, @cite{std}, @cite{synopsys} and @cite{vital}. Except for a few shell and @cite{Python} scripts, all the content is written in VHDL. @@ -3643,7 +3643,7 @@ the content is written in VHDL. @itemize * -@item +@item Vendors like Altera, Lattice and Xilinx have their own simulation libraries, especially for FPGA primitives, soft and hard macros. These libraries cannot be shipped with GHDL, but we offer prepared compile scripts to @@ -3653,58 +3653,58 @@ See @ref{e3,,Precompile Vendor Primitives} for information on how to use them. @end itemize -@item +@item @code{dist}: scripts and auxiliary files to build GHDL in different environments: @itemize * -@item +@item @code{gcc}: header and configuration files to build GHDL with GCC (all platforms). -@item +@item @code{linux}: build and test script written in shell, and other auxiliary files used to i) launch docker containers and ii) automate multiple builds in Travis CI@footnote{https://travis-ci.org/}. -@item +@item @code{windows}: @itemize * -@item +@item @code{mcode}: -@item +@item @code{appveyor}: @end itemize @end itemize -@item +@item @code{doc}: @cite{Markdown} and @cite{reStructuredText} sources and auxiliary files to build the documentation with Sphinx@footnote{http://www.sphinx-doc.org}. In fact, Read the Docs@footnote{http://readthedocs.org} (RTD) is used to automatically build and deploy this site and/or PDF you are reading. -@item +@item @code{testsuite}: files used for testing. -@item +@item @cite{.yml} configuration files for CI environments (@code{readthedocs}, @code{travis}, and @code{appveyor}) and @cite{ignore} files for source control management tools (@code{git} and @code{.hg}). -@item +@item Files for building GHDL: @code{configure} and @code{Makefile.in}. -@item +@item Auxiliary files for development: @code{.gdbinit} and @code{ghdl.gpr.in} (GNAT project file). -@item +@item Text files: @code{COPYING.md}, @code{NEWS.md}, and @code{README.md}. @end itemize @@ -3729,8 +3729,8 @@ simplest procedure, because it requires the fewest dependencies and configuratio options. @menu -* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2. -* GNAT GPL; Windows: GNAT GPL Windows. +* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2. +* GNAT GPL; Windows: GNAT GPL Windows. @end menu @@ -3745,10 +3745,10 @@ options. @itemize * -@item +@item GCC (Gnu Compiler Collection) -@item +@item GNAT (Ada compiler for GCC) @end itemize @@ -3757,21 +3757,21 @@ GHDL is configured by @code{configure} and built by @code{make}. @itemize * -@item +@item First, GHDL needs to be configured. It is common to specify a @code{PREFIX} (installation directory like @code{/usr/local} or @code{/opt/ghdl}). Without any other option, @code{configure} selects @cite{mcode} as the backend. -@item +@item Next, @code{make} starts the compilation process. -@item +@item Finally, @code{make install} installs GHDL into the installation directory specified by @code{PREFIX}. @end itemize @cartouche -@quotation Hint +@quotation Hint ON GNU/Linux, you may need super user privileges (@code{sudo ...}). @end quotation @end cartouche @@ -3799,13 +3799,13 @@ $ make install @itemize * -@item +@item GNAT GPL from @indicateurl{http://libre.adacore.com} -@item +@item PowerShell 4 -@item +@item PowerShell Community Extensions (PSCX) @end itemize @@ -3852,18 +3852,18 @@ CreatePackage options: @itemize * -@item +@item GCC (Gnu Compiler Collection) -@item +@item GNAT (Ada compiler for GCC) -@item +@item LLVM (Low-Level-Virtual Machine) and CLANG (Compiler front-end for LLVM): 3.5, 3.8, 3.9, 4.0, 5.0, 6.0, 7.0 or 8.0 @end itemize @menu -* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>. +* GCC/GNAT; GNU/Linux or Windows (MinGW/MSYS2): GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>. @end menu @@ -3873,7 +3873,7 @@ LLVM (Low-Level-Virtual Machine) and CLANG (Compiler front-end for LLVM): 3.5, 3 @cartouche -@quotation Hint +@quotation Hint You need to install LLVM (usually depends on @code{libedit}, see #29@footnote{https://github.com/ghdl/ghdl/issues/29}). Debugging is only supported with LLVM 3.5. @end quotation @end cartouche @@ -3883,17 +3883,17 @@ GHDL is configured by @code{configure} and built by @code{make}. @itemize * -@item +@item First, GHDL needs to be configured. It is common to specify a @code{PREFIX} (installation directory like @code{/usr/local} or @code{/opt/ghdl}). Set the proper arg, @code{./configure --with-llvm-config}, to select LLVM backend. If @code{llvm-config} is not in your path, you can specify it: @code{./configure --with-llvm-config=LLVM_INSTALL/bin/llvm-config}. -@item +@item Next, @code{make} starts the compilation process. -@item +@item Finally, @code{make install} installs GHDL into the installation directory specified by @code{PREFIX}. @end itemize @@ -3911,7 +3911,7 @@ $ make install @end example @cartouche -@quotation Hint +@quotation Hint If you want to have stack backtraces on errors (like assert failure or index of out bounds), you need to configure and build @code{libbacktrace} from GCC (you don’t need to configure GCC). Then add the following arg to configure: @code{--with-backtrace-lib=/path-to-gcc-build/libbacktrace/.libs/libbacktrace.a} @end quotation @end cartouche @@ -3933,7 +3933,7 @@ If you want to have stack backtraces on errors (like assert failure or index of @cartouche -@quotation Todo +@quotation Todo Instructions to build GHDL with GCC backend on Windows are not available yet. @end quotation @end cartouche @@ -3944,18 +3944,18 @@ Instructions to build GHDL with GCC backend on Windows are not available yet. @itemize * -@item +@item GCC (Gnu Compiler Collection) -@item +@item GNAT (Ada compiler for GCC) -@item +@item GCC source files. Download and untar the sources of version 4.9.x, 5.x, 6.x or 7.x. @end itemize @cartouche -@quotation Hint +@quotation Hint There are some dependencies for building GCC (@code{gmp}, @code{mpfr} and @code{mpc}). If you have not installed them on your system, you can either build them manually or use the @code{download_prerequisites} script provided in the GCC source tree (recommended): @code{cd /path/to/gcc/source/dir && ./contrib/download_prerequisites}. @end quotation @end cartouche @@ -3963,19 +3963,19 @@ There are some dependencies for building GCC (@code{gmp}, @code{mpfr} and @code{ @itemize * -@item +@item First configure GHDL, specify GCC source directory and installation prefix (like @code{/usr/local} or @code{/opt/ghdl}). -@item +@item Next, invoke @code{make copy-sources} to copy GHDL sources in the source directory. -@item +@item Then, configure GCC. The list of @code{--disable} configure options can be adjusted to your needs. GHDL does not require all these optional libraries and disabling them will speed up the build. -@item +@item Now, build and install GCC with @code{make}. -@item +@item Last, build and install GHDL libraries. @end itemize @@ -3999,25 +3999,25 @@ $ make install @end example @cartouche -@quotation Hint +@quotation Hint Note that the prefix directory to configure @code{gcc} must be the same as the one used to configure GHDL. If you have manually built @code{gmp}/@code{mpfr}/@code{mpc} (without using the script in @code{contrib}), and, if you have installed them in a non-standard directory, you may need to add @code{--with-gmp=GMP_INSTALL_DIR}. @end quotation @end cartouche @cartouche -@quotation Hint +@quotation Hint If your system gcc was configured with @code{--enable-default-pie} (check if that option appears in the output of @code{gcc -v}), you should also add it. @end quotation @end cartouche @cartouche -@quotation Hint +@quotation Hint If you don’t want to install @code{makeinfo}, do @code{make install MAKEINFO=true} instead. @end quotation @end cartouche @cartouche -@quotation Hint +@quotation Hint Once GCC (with GHDL) has been built once, it is possible to work on the GHDL source tree without copying it in the GCC tree. Commands are: @example @@ -4042,7 +4042,7 @@ If your system gcc was built with @code{--enable-default-pie}, add @end cartouche @cartouche -@quotation Hint +@quotation Hint For ppc64 (and AIX ?) platform, the object file format contains an identifier for the source language. Because gcc doesn’t know about VHDL, gcc crashes very early. This could be fixed with a very simple change in @code{gcc/config/rs6000/rs6000.c}, @code{function rs6000_output_function_epilogue} (as of gcc 4.8): @example @@ -4058,7 +4058,7 @@ For ppc64 (and AIX ?) platform, the object file format contains an identifier fo @end cartouche @cartouche -@quotation Hint +@quotation Hint The output of both GCC and LLVM is an executable file, but @cite{mcode} does not generate any. Therefore, if using GCC/LLVM, the call with argument @code{-r} can be replaced with direct execution of the binary. See section @ref{d,,Quick Start Guide}. @@ -4071,7 +4071,7 @@ know where the content will be placed and which files are expected to be created. @cartouche -@quotation Hint +@quotation Hint In these instructions, the configure script is executed in the source directory; but you can execute in a different directory too, like this: @@ -4087,19 +4087,19 @@ $ ../path/to/ghdl/configure ... @end cartouche @cartouche -@quotation Hint +@quotation Hint On Windows, building GHDL with mcode backend and GNAT GPL 32 bit seems to be the only way to get a standalone native executable. @itemize * -@item +@item MINGW/MSYS2 builds depend on the environment/runtime. -@item +@item For 64 bit, no native compiler exists from AdaCore. -@item +@item That Ada to .NET compiler, which might work for 32 or 64 bit. is not up-to-date. @end itemize @end quotation @@ -4139,12 +4139,12 @@ Generic Colourizer @indicateurl{http://kassiopeia.juls.savba.sk/~garabik/softwar }. @menu -* Supported Vendors Libraries:: -* Supported Simulation and Verification Libraries:: -* Script Configuration:: -* Compiling on Linux:: -* Compiling on Windows:: -* Configuration Files:: +* Supported Vendors Libraries:: +* Supported Simulation and Verification Libraries:: +* Script Configuration:: +* Compiling on Linux:: +* Compiling on Windows:: +* Configuration Files:: @end menu @@ -4156,97 +4156,97 @@ Generic Colourizer @indicateurl{http://kassiopeia.juls.savba.sk/~garabik/softwar @itemize * -@item +@item Altera/Intel Quartus (13.0 or later): @itemize * -@item +@item @cite{lpm}, @cite{sgate} -@item +@item @cite{altera}, @cite{altera_mf}, @cite{altera_lnsim} -@item +@item @cite{arriaii}, @cite{arriaii_pcie_hip}, @cite{arriaiigz} -@item +@item @cite{arriav}, @cite{arriavgz}, @cite{arriavgz_pcie_hip} -@item +@item @cite{cycloneiv}, @cite{cycloneiv_pcie_hip}, @cite{cycloneive} -@item +@item @cite{cyclonev} -@item +@item @cite{max}, @cite{maxii}, @cite{maxv} -@item +@item @cite{stratixiv}, @cite{stratixiv_pcie_hip} -@item +@item @cite{stratixv}, @cite{stratixv_pcie_hip} -@item +@item @cite{fiftyfivenm}, @cite{twentynm} @end itemize -@item +@item Lattice (3.6 or later): @itemize * -@item +@item @cite{ec} -@item +@item @cite{ecp}, @cite{ecp2}, @cite{ecp3}, @cite{ecp5u} -@item +@item @cite{lptm}, @cite{lptm2} -@item +@item @cite{machxo}, @cite{machxo2}, @cite{machxo3l} -@item +@item @cite{sc}, @cite{scm} -@item +@item @cite{xp}, @cite{xp2} @end itemize -@item +@item Xilinx ISE (14.0 or later): @itemize * -@item +@item @cite{unisim} (incl. @cite{secureip}) -@item +@item @cite{unimacro} -@item +@item @cite{simprim} (incl. @cite{secureip}) -@item +@item @cite{xilinxcorelib} @end itemize -@item +@item Xilinx Vivado (2014.1 or later): @itemize * -@item +@item @cite{unisim} (incl. @cite{secureip}) -@item +@item @cite{unimacro} @end itemize @end itemize @@ -4259,50 +4259,50 @@ Xilinx Vivado (2014.1 or later): @itemize * -@item +@item OSVVM (for VHDL-2008) @itemize * -@item +@item osvvm @end itemize -@item +@item UVVM (for VHDL-2008) @itemize * -@item +@item uvvm-utilities -@item +@item uvvm-vvc-framework -@item +@item uvvm-vip-avalon_mm -@item +@item uvvm-vip-axi_lite -@item +@item uvvm-vip-axi_stream -@item +@item uvvm-vip-gpio -@item +@item uvvm-vip-i2c -@item +@item uvvm-vip-sbi -@item +@item uvvm-vip-spi -@item +@item uvvm-vip-uart @end itemize @end itemize @@ -4347,7 +4347,7 @@ __________________________________________________________________ @itemize * -@item +@item @table @asis @@ -4356,7 +4356,7 @@ __________________________________________________________________ See the next section for how to configure @cite{config.sh}. @end table -@item +@item @strong{Step 1 - Browse to your simulation working directory} @example @@ -4364,7 +4364,7 @@ $ cd `@w{`}` @end example -@item +@item @strong{Step 2 - Start the compilation script(s)} @example @@ -4380,7 +4380,7 @@ In most cases GHDL is installed into `/usr/local/`. The scripts are installed into the `lib` directory. @end example -@item +@item @table @asis @@ -4415,7 +4415,7 @@ __________________________________________________________________ @itemize * -@item +@item @table @asis @@ -4424,14 +4424,14 @@ __________________________________________________________________ See the next section for how to configure @cite{config.psm1}. @end table -@item +@item @strong{Step 1 - Browse to your simulation working directory} @example PS> cd @end example -@item +@item @strong{Step 2 - Start the compilation script(s)} @example @@ -4443,7 +4443,7 @@ PS> \libraries\vendors\compile-osvvm.ps1 -All PS> \libraries\vendors\compile-uvvm.ps1 -All @end example -@item +@item @table @asis @@ -4478,10 +4478,10 @@ __________________________________________________________________ @menu -* For Linux; config.sh: For Linux config sh. -* For Windows; config.psm1: For Windows config psm1. -* Selectable Options for the Bash Scripts;: Selectable Options for the Bash Scripts. -* Selectable Options for the PowerShell Scripts;: Selectable Options for the PowerShell Scripts. +* For Linux; config.sh: For Linux config sh. +* For Windows; config.psm1: For Windows config psm1. +* Selectable Options for the Bash Scripts;: Selectable Options for the Bash Scripts. +* Selectable Options for the PowerShell Scripts;: Selectable Options for the PowerShell Scripts. @end menu @@ -4536,7 +4536,7 @@ $InstallationDirectory = @@@{ @itemize * -@item +@item Common parameters to most scripts: @example @@ -4548,7 +4548,7 @@ Common parameters to most scripts: --halt-on-error, -H Stop compiling if an error occurred. @end example -@item +@item @cite{compile-altera.sh} Selectable libraries: @@ -4569,7 +4569,7 @@ Compile options: --vhdl2008 Compile selected libraries with VHDL-2008. @end example -@item +@item @cite{compile-xilinx-ise.sh} Selectable libraries: @@ -4590,7 +4590,7 @@ Compile options: --vhdl2008 Compile selected libraries with VHDL-2008. @end example -@item +@item @cite{compile-xilinx-vivado.sh} Selectable libraries: @@ -4609,7 +4609,7 @@ Compile options: --vhdl2008 Compile selected libraries with VHDL-2008. @end example -@item +@item @cite{compile-osvvm.sh} Selectable libraries: @@ -4619,7 +4619,7 @@ Selectable libraries: --osvvm Compile the OSVVM library. @end example -@item +@item @cite{compile-uvvm.sh} Selectable libraries: @@ -4638,7 +4638,7 @@ Selectable libraries: @itemize * -@item +@item Common parameters to all scripts: @example @@ -4647,7 +4647,7 @@ Common parameters to all scripts: -SuppressWarnings Don't show warnings. Report errors only. @end example -@item +@item @cite{compile-altera.ps1} Selectable libraries: @@ -4668,7 +4668,7 @@ Compile options: -VHDL2008 Compile selected libraries with VHDL-2008. @end example -@item +@item @cite{compile-xilinx-ise.ps1} Selectable libraries: @@ -4689,7 +4689,7 @@ Compile options: -VHDL2008 Compile selected libraries with VHDL-2008. @end example -@item +@item @cite{compile-xilinx-vivado.ps1} Selectable libraries: @@ -4708,7 +4708,7 @@ Compile options: -VHDL2008 Compile selected libraries with VHDL-2008. @end example -@item +@item @cite{compile-osvvm.ps1} Selectable libraries: @@ -4718,7 +4718,7 @@ Selectable libraries: -OSVVM Compile the OSVVM library. @end example -@item +@item @cite{compile-uvvm.ps1} Selectable libraries: @@ -4753,18 +4753,18 @@ __________________________________________________________________ @cartouche -@quotation Hint +@quotation Hint The most common commands and options are shown in section @ref{e,,Invoking GHDL}. Here the advanced and experimental features are described. @end quotation @end cartouche @menu -* Environment variables:: -* Misc commands:: -* File commands:: -* GCC/LLVM only commands:: -* Options: Options<2>. -* Passing options to other programs:: +* Environment variables:: +* Misc commands:: +* File commands:: +* GCC/LLVM only commands:: +* Options: Options<2>. +* Passing options to other programs:: @end menu @@ -4788,10 +4788,10 @@ There are a few GHDL commands which are seldom useful. @geindex cmd help @menu -* Help [-h]:: -* Display config [--disp-config]:: -* Display standard [--disp-standard]:: -* Version [--version]:: +* Help [-h]:: +* Display config [--disp-config]:: +* Display standard [--disp-standard]:: +* Version [--version]:: @end menu @@ -4871,10 +4871,10 @@ The following commands act on one or several files. These are not analyzed, ther @geindex vhdl to html @menu -* Pretty print [--pp-html]:: -* Find [-f]:: -* Chop [--chop]:: -* Lines [--lines]:: +* Pretty print [--pp-html]:: +* Find [-f]:: +* Chop [--chop]:: +* Lines [--lines]:: @end menu @@ -4895,10 +4895,10 @@ The style of the html file can be modified with the @code{--format=} option: @itemize * -@item +@item By default or when the @code{--format=html2} option is specified, the output is an HTML 2.0 file, with colours set through @cite{} tags. -@item +@item When the @code{--format=css} option is specified, the output is an HTML 4.0 file, with colours set through a CSS file, whose name is @code{ghdl.css}. See Cross-reference_command, for more details about this CSS file. @end itemize @@ -4933,13 +4933,13 @@ The provided files are read, and a file is written in the current directory for @itemize * -@item +@item For an entity declaration, a package declaration, or a configuration the file name is @code{NAME.vhdl}, where @cite{NAME} is the name of the design unit. -@item +@item For a package body, the filename is @code{NAME-body.vhdl}. -@item +@item Finally, for an architecture @cite{ARCH} of an entity @cite{ENTITY}, the filename is @code{ENTITY-ARCH.vhdl}. @end itemize @@ -4971,9 +4971,9 @@ Display on the standard output lines of files preceded by line number. @geindex cmd GCC/LLVM binding @menu -* Bind [--bind]:: -* Link [--link]:: -* List link [--list-link]:: +* Bind [--bind]:: +* Link [--link]:: +* List link [--list-link]:: @end menu @@ -4990,7 +4990,7 @@ Display on the standard output lines of files preceded by line number. Performs only the first stage of the elaboration command; the list of object files is created but the executable is not built. This command should be used only when the main entry point is not GHDL. @cartouche -@quotation Hint +@quotation Hint Currently, the objects generated by @code{--bind} are created in the working directory. This behaviour is different from other object files generated with @code{-a}, which are always placed in the same directory as the @cite{WORK} library. It is possible to provide an output path with @code{ghdl --bind -o path/primary_unit primary_unit}. However, @code{ghdl --list-link} will only search in the current path. @end quotation @end cartouche @@ -5024,16 +5024,16 @@ Performs only the second stage of the elaboration command: the executable is cre This command may be used only after a bind command. GHDL displays all the files which will be linked to create an executable and additional arguments for the linker. This command is intended to add object files in a link of a foreign program. This command should be used only after @code{ghdl --bind}, as some files generated by it are looked for in the current path. @cartouche -@quotation Hint +@quotation Hint One of the arguments returned by @code{--list-link} is @code{-Wl,--version-script=PREFIX/lib/ghdl/grt.ver}, where @cite{PREFIX} is the installation path of GHDL. This will hide most of the symbols when the target executable binary is built. In some contexts, where the binary is to be loaded dynamically, the user might want additional symbols to be accessible. There are two possible approaches to have it done: @itemize * -@item +@item Filter the output of @code{--list-link} with e.g. @code{sed}. -@item +@item Provide an additional non-anonymous version script: @code{-Wl,-Wl,--version-script=file.ver}. @end itemize @end quotation @@ -5091,7 +5091,7 @@ Use @code{COMMAND} as the linker driver. If @code{COMMAND} is not a path, then i @cartouche -@quotation Warning +@quotation Warning These options are only available with GCC/LLVM. @end quotation @end cartouche @@ -5161,20 +5161,20 @@ declaration). Don’t repeat the comment before the subprogram body. @itemize * -@item +@item For subprograms: -@enumerate +@enumerate -@item +@item Declare on one line when possible: @example function Translate_Static_Aggregate (Aggr : Iir) return O_Cnode @end example -@item +@item If not possible, put the return on the next line: @example @@ -5182,7 +5182,7 @@ function Translate_Static_String (Str_Type : Iir; Str_Ident : Name_Id) return O_Cnode @end example -@item +@item If not possible, put parameters and return on the next line: @example @@ -5190,7 +5190,7 @@ function Create_String_Literal_Var_Inner (Str : Iir; Element_Type : Iir; Str_Type : O_Tnode) return Var_Type @end example -@item +@item If not possible, return on the next line: @example @@ -5199,7 +5199,7 @@ function Translate_Shortcut_Operator return O_Enode @end example -@item +@item If not possible, one parameter per line, just after subprogram name: @example @@ -5209,7 +5209,7 @@ procedure Translate_Static_Aggregate_1 (List : in out O_Array_Aggr_List; El_Type : Iir) @end example -@item +@item If not possible, add a return after subprogram name: @example @@ -5221,11 +5221,11 @@ function Translate_Predefined_TF_Array_Element return O_Enode @end example -@item +@item If not possible, ask yourself what is wrong! Shorten a name. @end enumerate -@item +@item Rule for the ‘is’: on a new line only if the declarative part is not empty: @quotation @@ -5258,7 +5258,7 @@ procedure Predeclare_Scope_Type @end example @end quotation -@item +@item Generic instantiation: put the generic actual part on a new line: @quotation @@ -5269,20 +5269,20 @@ procedure Free is new Ada.Unchecked_Deallocation @end example @end quotation -@item +@item For if/then statement: -@enumerate +@enumerate -@item +@item ‘then’ on the same line: @example if Get_Expr_Staticness (Decl) = Locally then @end example -@item +@item If not possible, ‘then’ is alone on its line aligned with the ‘if’: @example @@ -5291,11 +5291,11 @@ if Expr = Null_Iir then @end example -@item +@item For a multiline condition, ‘or else’ and ‘and then’ should start lines. @end enumerate -@item +@item ‘Local’ variable declaration: Do not initialize variables, constants must be declared before variables: @@ -5333,12 +5333,12 @@ not use a constant. This chapter describes several implementation defined aspects of VHDL in GHDL. @menu -* VHDL standards:: -* PSL implementation:: -* Source representation:: -* Library database:: -* Top entity:: -* Using vendor libraries:: +* VHDL standards:: +* PSL implementation:: +* Source representation:: +* Library database:: +* Top entity:: +* Using vendor libraries:: @end menu @@ -5389,19 +5389,19 @@ reasons: @itemize * -@item +@item the syntax of file declaration has changed (this is the most visible source of incompatibility), -@item +@item new keywords were introduced (group, impure, inertial, literal, postponed, pure, reject, rol, ror, shared, sla, sll, sra, srl, unaffected, xnor), -@item +@item some dynamic behaviours have changed (the concatenation is one of them), -@item +@item rules have been added. @end itemize @@ -5440,10 +5440,10 @@ Select VHDL-93 standard with relaxed rules: @itemize * -@item +@item VHDL-87 file declarations are accepted; -@item +@item default binding indication rules of VHDL-02 are used. Default binding rules are often used, but they are particularly obscure before VHDL-02. @end itemize @@ -5464,7 +5464,7 @@ Select VHDL-2008 standard (partially implemented). Multiple standards can be used in a design: -@multitable {xxxxxxx} {xxxxxxxxxxxxxxxxxx} +@multitable {xxxxxxx} {xxxxxxxxxxxxxxxxxx} @headitem GROUP @@ -5501,7 +5501,7 @@ VHDL Standard @cartouche -@quotation Note +@quotation Note The standards in each group are considered compatible: you can elaborate a design mixing these standards. However, standards of different groups are not compatible. @end quotation @end cartouche @@ -5605,11 +5605,11 @@ hierarchy: @itemize * -@item +@item The generic must have a default value, and the value of a generic is its default value. -@item +@item The ports type must be constrained. @end itemize @@ -5653,10 +5653,10 @@ This chapter describes how VITAL is implemented in GHDL. Support of VITAL is really in a preliminary stage. Do not expect too much of it as of right now. @menu -* VITAL packages:: -* VHDL restrictions for VITAL:: -* Backannotation:: -* Negative constraint calculation:: +* VITAL packages:: +* VHDL restrictions for VITAL:: +* Backannotation:: +* Negative constraint calculation:: @end menu @@ -5763,25 +5763,25 @@ We have several axes for @cite{GHDL} improvements: @itemize * -@item +@item Documentation -@item +@item Better diagnostics messages (warning and error) -@item +@item Full support of VHDL-2008 -@item +@item Optimization (simulation speed) -@item +@item Graphical tools (to see waves and to debug) -@item +@item Style checks -@item +@item VITAL acceleration @end itemize @@ -5802,10 +5802,10 @@ VITAL acceleration @menu -* General guidelines to edit the documentation:: -* Guidelines to edit section ‘Building’:: -* Documentation configuration:: -* Dist:: +* General guidelines to edit the documentation:: +* Guidelines to edit section ‘Building’:: +* Documentation configuration:: +* Dist:: @end menu @@ -5817,18 +5817,18 @@ VITAL acceleration @quotation -@enumerate +@enumerate -@item +@item It’s better for version control systems and diff tools to break lines at a sensible number of characters. Long lines appear as one diff. Also merging is more complex because merges are line based. Long unbreakable items may be longer (links, refs, etc.). We chose to use 160 chars. -@item +@item Please indent all directive content by 3 spaces (not 2, and no tabs). -@item +@item Please use @code{*} as an itemize character, since @code{-} and @code{+} are supported by docutils, but not officially supported by Sphinx. -@item +@item Please underline all headlines with at least as many characters as the headline is long. Following the Python pattern for headlines the levels are: @example @@ -5839,7 +5839,7 @@ Please underline all headlines with at least as many characters as the headline ‘’’’’’’’’’’’’’’’’’’’’’’’ @end example -@item +@item It’s not required to write @example @@ -5871,14 +5871,14 @@ Please simplify all usages of @code{:samp:`code`} to @code{`@w{`}code`@w{`}} for @itemize - -@item +@item Search pattern:: @code{(.+?)} -@item +@item Replace pattern:: @code{\1} @end itemize -@item +@item Each backend has one folder and each platform/compiler has one file. Please note that page headlines are different from ToC headline: @example @@ -5889,10 +5889,10 @@ Each backend has one folder and each platform/compiler has one file. Please note file2 @end example -@item +@item Documentation should not use “you”, “we”, …, because it’s not an interactive conversation or informal letter. It’s like a thesis, everything is structured and formal. However, to make it more friendly to newcomers, we agree to allow informal language in the section @ref{d,,Quick Start Guide}. -@item +@item Please keep errors to a minimum. @end enumerate @end quotation @@ -5909,16 +5909,16 @@ We would like to see a list like: @itemize * -@item +@item gcc (Gnu Compiler Collection) -@item +@item gcc-gnat (Ada compiler for GCC) -@item +@item llvm-del (LLVM development package) -@item +@item … @end itemize @@ -5932,16 +5932,16 @@ The goal is also to explain what a user is installing and what the few lines in @itemize * -@item +@item Python snippet for Sphinx’s @cite{conf.py} to extract the current version number from Git (latest tag name). [#200@footnote{https://github.com/ghdl/ghdl/issues/200}, #221@footnote{https://github.com/ghdl/ghdl/issues/221}] -@item +@item Reference @code{genindex.html} from the navigation bar. [#200@footnote{https://github.com/ghdl/ghdl/issues/200}] -@item +@item Create “parts” (LaTeX terminology / chapter headlines) in navigation bar. [#200@footnote{https://github.com/ghdl/ghdl/issues/200}] -@item +@item @table @asis @@ -5950,17 +5950,17 @@ Create “parts” (LaTeX terminology / chapter headlines) in navigation bar. [# @itemize * -@item +@item To decompress the inventory file: @cite{curl -s http://ghdl.readthedocs.io/en/latest/objects.inv | tail -n+5 | openssl zlib -d}. From how-to-uncompress-zlib-data-in-unix@footnote{http://unix.stackexchange.com/questions/22834/how-to-uncompress-zlib-data-in-unix}. -@item +@item External ref and link to section: @example :ref:`GHDL Roadmap ` @end example -@item +@item External ref to option (no link): @example @@ -5979,8 +5979,8 @@ External ref to option (no link): @itemize * -@item -Ubuntu uses @cite{dash} instead of @cite{bash} when a shell script is run. As a result, some functionalities, such as arrays like @code{array[1]}, are not supported. Therefore, build scripts in @cite{dist/linux} should not use those functionalities unless they are sourced in a @cite{bash} shell. That is, @code{travis-ci.sh} uses arrays, since it is sourced in the Travis CI machine. But @code{docker-buildtest.sh} and @code{buildtest.sh} do not use any. The same applies to the scripts in @cite{testsuite}. +@item +Ubuntu uses @cite{dash} instead of @cite{bash} when a shell script is run. As a result, some functionalities, such as arrays like @code{array[1]}, are not supported. Therefore, build scripts should not use those functionalities unless they are sourced in a @cite{bash} shell. That is, @code{travis-ci.sh} uses arrays, since it is sourced in the Travis CI machine. But @code{docker-buildtest.sh} and @code{buildtest.sh} do not use any. The same applies to the scripts in @cite{testsuite}. @end itemize @c # preload commonly known graphical characters like (c) diff --git a/scripts/ansi_color.sh b/scripts/ansi_color.sh new file mode 100644 index 000000000..bb5c348bc --- /dev/null +++ b/scripts/ansi_color.sh @@ -0,0 +1,18 @@ +#!/bin/sh + +enable_color() { + ENABLECOLOR='-c ' + ANSI_RED="\033[31m" + ANSI_GREEN="\033[32m" + ANSI_YELLOW="\033[33m" + ANSI_BLUE="\033[34m" + ANSI_MAGENTA="\033[35m" + ANSI_GRAY="\033[90m" + ANSI_CYAN="\033[36;1m" + ANSI_DARKCYAN="\033[36m" + ANSI_NOCOLOR="\033[0m" +} + +disable_color() { unset ENABLECOLOR ANSI_RED ANSI_GREEN ANSI_YELLOW ANSI_BLUE ANSI_MAGENTA ANSI_CYAN ANSI_DARKCYAN ANSI_NOCOLOR; } + +enable_color diff --git a/scripts/ci-run.sh b/scripts/ci-run.sh new file mode 100755 index 000000000..cee5d05e2 --- /dev/null +++ b/scripts/ci-run.sh @@ -0,0 +1,493 @@ +#! /bin/bash + +scriptdir=`dirname $0` + +if [ -n "$GITHUB_EVENT_PATH" ]; then + export CI=true +fi + +. "$scriptdir/ansi_color.sh" +disable_color + +print_start() { + COL="$ANSI_YELLOW" + if [ "x$2" != "x" ]; then + COL="$2" + fi + printf "${COL}${1}$ANSI_NOCOLOR\n" +} + +gstart () { + print_start "$@" +} +gend () { + : +} + +if [ -n "$TRAVIS" ]; then + echo "INFO: set 'gstart' and 'gend' for TRAVIS" + # This is a trimmed down copy of https://github.com/travis-ci/travis-build/blob/master/lib/travis/build/bash/* + travis_time_start() { + # `date +%N` returns the date in nanoseconds. It is used as a replacement for $RANDOM, which is only available in bash. + travis_timer_id=`date +%N` + travis_start_time=`travis_nanoseconds` + echo "travis_time:start:$travis_timer_id" + } + travis_time_finish() { + travis_end_time=`travis_nanoseconds` + local duration=$(($travis_end_time-$travis_start_time)) + echo "travis_time:end:$travis_timer_id:start=$travis_start_time,finish=$travis_end_time,duration=$duration" + } + + if [ "$TRAVIS_OS_NAME" = "osx" ]; then + travis_nanoseconds() { + date -u '+%s000000000' + } + else + travis_nanoseconds() { + date -u '+%s%N' + } + fi + + gstart () { + echo "travis_fold:start:group" + travis_time_start + print_start "$@" + } + + gend () { + travis_time_finish + echo "travis_fold:end:group" + } +else + if [ -n "$CI" ]; then + echo "INFO: set 'gstart' and 'gend' for CI" + gstart () { + printf '::group::' + print_start "$@" + SECONDS=0 + } + + gend () { + duration=$SECONDS + echo '::endgroup::' + printf "${ANSI_GRAY}took $(($duration / 60)) min $(($duration % 60)) sec.${ANSI_NOCOLOR}\n" + } + fi +fi + +echo "cliargs: $0 $@" + +# Stop in case of error +set -e + +ISGPL=false +ISSYNTH=true + +# Transform long options to short ones +for arg in "$@"; do + shift + case "$arg" in + "--color"|"-color") set -- "$@" "-c";; + "--backend"|"-backend") set -- "$@" "-b";; + "--pkg"|"-pkg") set -- "$@" "-p";; + "--gpl"|"-gpl") set -- "$@" "-g";; + "--no-synth"|"-no-synth") set -- "$@" "-s";; + *) set -- "$@" "$arg" + esac +done +# Parse args +while getopts ":b:p:cgs" opt; do + case $opt in + c) enable_color;; + b) BACK=$OPTARG ;; + p) PKG_NAME=$OPTARG;; + g) ISGPL=true;; + s) ISSYNTH=false;; + \?) printf "$ANSI_RED[CI - args] Invalid option: -$OPTARG $ANSI_NOCOLOR\n" >&2 + exit 1 ;; + :) printf "$ANSI_RED[CI - args] Option -$OPTARG requires an argument. $ANSI_NOCOLOR\n" >&2 + exit 1 ;; + esac +done +shift $((OPTIND -1)) + +#--- + +# +# Build command options +# + +notag() { + # No tag: use date + commit id + echo "`git log -1 --date=short --pretty=format:%cd | sed 's/-//g'`-$PKG_SHA" +} + +vertag() { + if expr "$1" : 'v[0-9].*' > /dev/null; then + # Check version defined in configure. + cfgver=`grep "^ghdl_version=" configure | sed -e 's/.*"\(.*\)"/\1/'` + if [ "x$1" != "xv$cfgver" ]; then + printf "${ANSI_RED}Tag '$1' does not match configure 'ghdl_version' ($cfgver)!${ANSI_NOCOLOR}\n" 1>&2; + exit 1 + fi + # Remove leading 'v' in tags in the filenames. + echo $1 | cut -c2- + else + # Regular tag (like snapshots), nothing to change. + echo "$1" + fi +} + +buildCmdOpts () { + BUILD_ARG="$1" + + # Get short commit SHA + if [ -n "$TRAVIS_COMMIT" ]; then + GIT_SHA="$TRAVIS_COMMIT" + fi + if [ -n "$GITHUB_SHA" ]; then + GIT_SHA="$GITHUB_SHA" + fi + if [ -z "$GIT_SHA" ]; then + GIT_SHA="`git rev-parse --verify HEAD`" + fi + PKG_SHA="`printf $GIT_SHA | cut -c1-10`" + + echo "TRAVIS_COMMIT: $TRAVIS_COMMIT" + echo "TRAVIS_TAG: $TRAVIS_TAG" + echo "GITHUB_SHA: $GITHUB_SHA" + echo "GITHUB_REF: $GITHUB_REF" + echo "GIT_SHA: $GIT_SHA" + + # Compute package name + case "$GITHUB_REF" in + *tags*) + PKG_TAG="$(vertag "`echo "$GITHUB_REF" | sed 's#^refs/tags/\(.*\)#\1#g'`")" + ;; + *heads*|*pull*) + PKG_TAG="`notag`" + ;; + "") + if [ -z "$TRAVIS_TAG" ]; then + PKG_TAG="`notag`" + else + PKG_TAG="`vertag "$TRAVIS_TAG"`" + fi + ;; + *) + PKG_TAG="$GITHUB_REF" + ;; + esac + + echo "PKG_SHA: $PKG_SHA" + echo "PKG_TAG: $PKG_TAG" + + # Extract from BUILD_ARG + IFS='+' read -ra REFS <<< "$BUILD_ARG" + DDIST=${REFS[0]} # Linux distro (eg: ubuntuXX, fedoraXX) + DBACK=${REFS[1]} # Build/backend (eg: mcode, llvm) + + PKG_NAME="ghdl-${PKG_TAG}-${DDIST}-${DBACK}" + BUILD_CMD_OPTS="$ENABLECOLOR -b $DBACK" + + if [ "x$ISGPL" = "xtrue" ]; then + BUILD_CMD_OPTS="$BUILD_CMD_OPTS --gpl" + PKG_NAME="${PKG_NAME}-gpl" + DEXT="-gpl" + fi + export BUILD_CMD_OPTS="${BUILD_CMD_OPTS} -p $PKG_NAME" + + GHDL_IMAGE_TAG="`echo $BUILD_ARG | sed -e 's/+/-/g'`" + BUILD_IMAGE_TAG="$GHDL_IMAGE_TAG" + + case $BUILD_ARG in + *gcc*) + BUILD_IMAGE_TAG="`echo $GHDL_IMAGE_TAG | sed 's#\(.*\)-gcc.*#\1-gcc#g'`" + ;; + esac + + GHDL_IMAGE_TAG="${GHDL_IMAGE_TAG}$DEXT" +} + +run_cmd() { + echo "$@" + "$@" +} + +# +# Build ghdl +# + +build () { + rm -f build_ok + + #--- Env + + gstart "[GHDL - build] Environment" + env + gend + + #--- GPL: gpl-ize sources + + if [ "$ISGPL" = "true" ]; then + GPLDIR="${PKG_NAME}.src" + gstart "[GHDL - build] create GPL source package (${ANSI_CYAN}${GPLDIR}.tgz${ANSI_NOCOLOR})" + files=`echo *` + make -f Makefile.in srcdir=. clean-pure-gpl + mkdir "$GPLDIR" + cp -pdrl $files "$GPLDIR" + tar -zcf "${GPLDIR}.tgz" "$GPLDIR" + gend + fi + + #--- Configure + + CDIR=`pwd` + INSTALL_DIR="$CDIR/install-$BACK" + mkdir "$INSTALL_DIR" + mkdir "build-$BACK" + cd "build-$BACK" + + if [ "x$ISSYNTH" = "xfalse" ]; then + CONFIG_OPTS+=" --disable-synth" + fi + + case "$BACK" in + gcc*) + gstart "[GHDL - build] Get gcc sources" + gccURL="https://codeload.github.com/gcc-mirror/gcc/tar.gz/releases/${BACK}" + echo "$gccURL" + mkdir gcc-srcs + curl -L "$gccURL" | tar -xz -C gcc-srcs --strip-components=1 + cd gcc-srcs + sed -i.bak s/ftp:/http:/g ./contrib/download_prerequisites + ./contrib/download_prerequisites + cd .. + gend + + gstart "[GHDL - build] Configure ghdl" + run_cmd ../configure --with-gcc=gcc-srcs $CONFIG_OPTS + gend + gstart "[GHDL - build] Copy sources" + make copy-sources + mkdir gcc-objs; cd gcc-objs + gend + gstart "[GHDL - build] Configure gcc" + run_cmd ../gcc-srcs/configure --enable-languages=c,vhdl --disable-bootstrap --disable-lto --disable-multilib --disable-libssp --disable-libgomp --disable-libquadmath "`gcc -v 2>&1 | grep -o -- --enable-default-pie`" + gend + ;; + mcode) + CXX="" + ;; + llvm) + CXX="clang++" + CONFIG_OPTS+=" --with-llvm-config CXX=$CXX" + ;; + llvm-3.5) + CXX="clang++" + CONFIG_OPTS+=" --with-llvm-config=llvm-config-3.5 CXX=$CXX" + ;; + llvm-*) + llvmver=`echo $BACK | sed -e "s/llvm-//"` + CXX="clang++-$llvmver" + CONFIG_OPTS+=" --with-llvm-config=llvm-config-$llvmver CXX=$CXX" + ;; + *) + printf "$ANSI_RED[GHDL - build] Unknown build $BACK $ANSI_NOCOLOR\n" + exit 1;; + esac + + if [ ! "`echo $BACK | grep gcc`" ]; then + gstart "[GHDL - build] Configure" + run_cmd ../configure $CONFIG_OPTS + gend + fi + + #--- make + + gstart "[GHDL - build] Make" + set +e + make LIB_CFLAGS="$LIB_CFLAGS" OPT_FLAGS="$OPT_FLAGS" -j`nproc` 2>make_err.log + tail -1000 make_err.log + set -e + gend + + gstart "[GHDL - build] Install" + make DESTDIR="$INSTALL_DIR" install + cd .. + gend + + if [ "`echo $BACK | grep gcc`" ]; then + gstart "[GHDL - build] Make ghdllib" + make DESTDIR="$INSTALL_DIR" ghdllib + gend + + gstart "[GHDL - build] Install ghdllib" + make DESTDIR="$INSTALL_DIR" install + cd .. + gend + fi + + #--- package + + gstart "[GHDL - build] Create package ${ANSI_DARKCYAN}${PKG_NAME}.tgz" + tar -zcvf "${PKG_NAME}.tgz" -C "$INSTALL_DIR/usr/local" . + gend + + #--- build tools versions + + { + make --version | grep 'Make' + gnatls --version | grep 'GNATLS' + gcc --version | grep 'gcc' + if [ "$CXX" != "" ]; then + $CXX --version | grep 'clang' + fi + } > BUILD_TOOLS + + #--- + + printf "$ANSI_GREEN[GHDL - build] SUCCESSFUL${ANSI_NOCOLOR}\n" + touch build_ok +} + +# +# Build ghdl/ghdl image +# + +build_img_ghdl() { + gstart "[DOCKER - build] ghdl/ghdl:${GHDL_IMAGE_TAG}" "$ANSI_BLUE" + docker build -t ghdl/ghdl:$GHDL_IMAGE_TAG . -f-< tmp-dpaths.ads + echo "-- This file is created by Makefile" >> tmp-dpaths.ads + echo "package Default_Paths is" >> tmp-dpaths.ads + echo " -- Accept long lines." >> tmp-dpaths.ads + echo " pragma Style_Checks (\"M999\");" >> tmp-dpaths.ads + echo " Install_Prefix : constant String :=" >> tmp-dpaths.ads + echo " \"$(exec_prefix)\";" >> tmp-dpaths.ads + suffix=`expr @"$(libexecdir)" : @"$(prefix)/\(.*\)"`; \ + if test x"$$suffix" = x; then suffix="$(libexecdir)"; fi; \ + echo " Compiler_Gcc : constant String :=" >> tmp-dpaths.ads; \ + echo " \"$$suffix/gcc/$(target_noncanonical)/$(version)/ghdl1$(exeext)\";" >> tmp-dpaths.ads + echo " Compiler_Debug : constant String := \"\";" >> tmp-dpaths.ads + echo " Compiler_Mcode : constant String := \"\";" >> tmp-dpaths.ads + echo " Compiler_Llvm : constant String := \"\";" >> tmp-dpaths.ads + echo " Post_Processor : constant String := \"\";" >> tmp-dpaths.ads + echo " Lib_Prefix : constant String :=">> tmp-dpaths.ads + echo " \"lib/ghdl\";" >> tmp-dpaths.ads + echo " Shared_Library_Extension : constant String :=">> tmp-dpaths.ads + echo " \"$(VHDL_SOEXT)\";" >> tmp-dpaths.ads + echo " Default_Pie : constant Boolean := False;" >> tmp-dpaths.ads + echo "end Default_Paths;" >> tmp-dpaths.ads + $(srcdir)/../move-if-change tmp-dpaths.ads $@ + +GHDL_GRT_OBJS=vhdl/grt-cstdio.o + +# The driver for ghdl. Depends on ghdl1 to use object files in vhdl/ subdir. +ghdl$(exeext): ghdl1$(exeext) $(GHDL_GRT_OBJS) vhdl/default_paths.ads force + $(GNATMAKE) -o $@ -aI$(srcdir)/vhdl -aI$(srcdir)/vhdl/ghdldrv \ + -aIvhdl -aOvhdl ghdl_gcc \ + -cargs $(CFLAGS) $(GHDL_ADAFLAGS) \ + -bargs -E \ + -largs --LINK=$(LLINKER) $(ALL_LINKERFLAGS) $(LDFLAGS) \ + $(GHDL_GRT_OBJS) $(LIBS) + +# Build hooks: + +vhdl.all.build: + +vhdl.all.cross: + @echo "No support for building vhdl cross-compiler" + exit 1 + +vhdl.start.encap: +vhdl.rest.encap: + +# Documentation hooks +doc/ghdl.info: vhdl/ghdl.texi + -rm -f doc/ghdl.info* + $(MAKEINFO) $(MAKEINFOFLAGS) -o $@ $< + +doc/ghdl.dvi: vhdl/ghdl.texi + $(TEXI2DVI) -o $@ $< + +vhdl.info: doc/ghdl.info + +vhdl.man: + +vhdl.dvi: doc/ghdl.dvi + +vhdl.generated-manpages: + +# Install hooks: +# ghdl1 is installed elsewhere as part of $(COMPILERS). + +vhdl.install-normal: + +vhdl.install-plugin: + +# Install the driver program as ghdl. +vhdl.install-common: ghdl$(exeext) ghdl1$(exeext) + -mkdir $(DESTDIR)$(bindir) + -$(RM) $(DESTDIR)$(bindir)/ghdl$(exeext) + $(INSTALL_PROGRAM) ghdl$(exeext) $(DESTDIR)$(bindir)/ghdl$(exeext) + -mkdir $(DESTDIR)$(libdir) + -mkdir $(DESTDIR)$(libdir)/ghdl + $(INSTALL_DATA) ../libbacktrace/.libs/libbacktrace.a $(DESTDIR)$(libdir)/ghdl + +install-info:: $(DESTDIR)$(infodir)/ghdl.info + +vhdl.install-info: $(DESTDIR)$(infodir)/ghdl.info + +vhdl.install-man: $(DESTDIR)$(man1dir)/ghdl$(man1ext) + +$(DESTDIR)$(man1dir)/ghdl$(man1ext): $(srcdir)/vhdl/ghdl.1 + -rm -f $@ + -$(INSTALL_DATA) $< $@ + -chmod a-x $@ + +vhdl.uninstall: + -$(RM) $(DESTDIR)$(bindir)/ghdl$(exeext) + + +# Clean hooks: +# A lot of the ancillary files are deleted by the main makefile. +# We just have to delete files specific to us. + +vhdl.mostlyclean: + -$(RM) vhdl/*$(objext) vhdl/*.ali vhdl/b~*.ad? + -$(RM) vhdl/default_paths.ads +vhdl.clean: + -$(RM) vhdl/*$(objext) + -$(RM) vhdl/default_paths.ads +vhdl.distclean: + -$(RM) vhdl/Makefile + -$(RM) ghdl$(exeext) +vhdl.extraclean: + +vhdl.maintainer-clean: + + +# Stage hooks: +# The main makefile has already created stage?/vhdl + +vhdl.stage1: + -$(MV) vhdl/*$(objext) vhdl/*.ali vhdl/b_*.c stage1/vhdl + -$(MV) vhdl/stamp-* stage1/vhdl +vhdl.stage2: + -$(MV) vhdl/*$(objext) vhdl/*.ali vhdl/b_*.c stage2/vhdl + -$(MV) vhdl/stamp-* stage2/vhdl +vhdl.stage3: + -$(MV) vhdl/*$(objext) vhdl/*.ali vhdl/b_*.c stage3/vhdl + -$(MV) vhdl/stamp-* stage3/vhdl +vhdl.stage4: + -$(MV) vhdl/*$(objext) vhdl/*.ali vhdl/b_*.c stage4/vhdl + -$(MV) vhdl/stamp-* stage4/vhdl + +# No vhdl-specific selftests +selftest-vhdl: diff --git a/scripts/gcc/config-lang.in b/scripts/gcc/config-lang.in new file mode 100644 index 000000000..3ce9851f3 --- /dev/null +++ b/scripts/gcc/config-lang.in @@ -0,0 +1,36 @@ +# Top level configure fragment for GNU vhdl (GHDL). +# Copyright (C) 1994-2001 Free Software Foundation, Inc. + +#This file is part of GNU CC. + +#GNU CC is free software; you can redistribute it and/or modify +#it under the terms of the GNU General Public License as published by +#the Free Software Foundation; either version 2, or (at your option) +#any later version. + +#GNU CC is distributed in the hope that it will be useful, +#but WITHOUT ANY WARRANTY; without even the implied warranty of +#MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +#GNU General Public License for more details. + +#You should have received a copy of the GNU General Public License +#along with GNU CC; see the file COPYING. If not, write to +#the Free Software Foundation, 59 Temple Place - Suite 330, +#Boston, MA 02111-1307, USA. + +# Configure looks for the existence of this file to auto-config each language. +# We define several parameters used by configure: +# +# language - name of language as it would appear in $(LANGUAGES) +# boot_language - "yes" if we need to build this language in stage1 +# compilers - value to add to $(COMPILERS) +# stagestuff - files to add to $(STAGESTUFF) + +language="vhdl" +boot_language=no + +compilers="ghdl1\$(exeext)" + +stagestuff="ghdl\$(exeext) ghdl1\$(exeext)" + +gtfiles="\$(srcdir)/vhdl/ortho-lang.c" diff --git a/scripts/gcc/lang-options.h b/scripts/gcc/lang-options.h new file mode 100644 index 000000000..c92b12132 --- /dev/null +++ b/scripts/gcc/lang-options.h @@ -0,0 +1,29 @@ +/* Definitions for switches for vhdl. + Copyright (C) 2002 + Free Software Foundation, Inc. + +This file is part of GNU CC. + +GNU CC is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2, or (at your option) +any later version. + +GNU CC is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with GNU CC; see the file COPYING. If not, write to +the Free Software Foundation, 59 Temple Place - Suite 330, +Boston, MA 02111-1307, USA. */ + +DEFINE_LANG_NAME ("vhdl") + +/* This is the contribution to the `lang_options' array in gcc.c for ghdl. */ + + {"--ghdl-", "Specify options to GHDL"}, + + + diff --git a/scripts/gcc/lang-specs.h b/scripts/gcc/lang-specs.h new file mode 100644 index 000000000..050443521 --- /dev/null +++ b/scripts/gcc/lang-specs.h @@ -0,0 +1,28 @@ +/* Definitions for specs for vhdl. + Copyright (C) 2002 + Free Software Foundation, Inc. + +This file is part of GNU CC. + +GNU CC is free software; you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation; either version 2, or (at your option) +any later version. + +GNU CC is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with GNU CC; see the file COPYING. If not, write to +the Free Software Foundation, 59 Temple Place - Suite 330, +Boston, MA 02111-1307, USA. */ + +/* This is the contribution to the `default_compilers' array in gcc.c for + GHDL. */ + + {".vhd", "@vhdl", 0, 0, 0}, + {".vhdl", "@vhdl", 0, 0, 0}, + {"@vhdl", + "ghdl1 %i %(cc1_options) %{!fsyntax-only:%(invoke_as)}", 0, 0, 0}, diff --git a/scripts/macosx/install-ada.sh b/scripts/macosx/install-ada.sh new file mode 100755 index 000000000..760be908b --- /dev/null +++ b/scripts/macosx/install-ada.sh @@ -0,0 +1,60 @@ +#!/bin/bash + +set -e + +if [ -e gnat/etc/install_ok ] && [ "x$(cat gnat/etc/install_ok)" = "x2019" ]; then + echo "gnatgpl already installed" + exit 0 +fi + +echo "Download and install gnat-gpl" +set -x + +# Remove old gnat directory +if [ -d gnat ]; then + rm -rf gnat +fi + +# Download from community.adacore.com and extract +wget -O dmgfile https://community.download.adacore.com/v1/5a7801fc686e86de838cfaf7071170152d81254d?filename=gnat-community-2019-20190517-x86_64-darwin-bin.dmg +7z x dmgfile +installer="gnat-community-2019-20190517-x86_64-darwin-bin/gnat-community-2019-20190517-x86_64-darwin-bin.app/Contents/MacOS/gnat-community-2019-20190517-x86_64-darwin-bin" + +# Install +mkdir -p gnat +chmod +x $installer +./$installer PREFIX=gnat + +# Cleanup: remove components not needed +rm -rf gnat/share/{themes,icons} \ + gnat/share/{man,info,doc,examples,gpr,gprconfig,gnatcoll} \ + gnat/share/gps \ + gnat/share/gdb* gnat/share/glib* gnat/share/gcc-*/python \ + gnat/etc/fonts gnat/etc/gtk* \ + gnat/include/{asis,aunit,gnat_util,gnatcoll,gpr,xmlada} \ + gnat/include/aws* gnat/include/pycairo gnat/include/python* \ + gnat/include/pygobject* gnat/include/gdb \ + gnat/include/c++ \ + gnat/lib/{aunit,gnat,gnat_util,gnatcoll,gpr,gps,xmlada} \ + gnat/lib/aws* gnat/lib/girepository* gnat/lib/gtk* \ + gnat/lib/python* \ + gnat/lib/gcc/x86*/*/{gcc-include,plugin,install-tools} \ + gnat/lib/gcc/x86*/*/rts-ios-simulator \ + gnat/lib/gcc/x86*/*/rts-native/adalib/lib*.dSYM \ + gnat/lib/gcc/x86*/*/rts-native/adalib/*.dylib \ + gnat/lib/gcc/x86*/*/rts-native/adalib/lib*_pic.a \ + gnat/libexec/gprbuild \ + gnat/libexec/gcc/x86*/*/{plugin,install-tools} \ + gnat/bin/aws* gnat/bin/gps* gnat/bin/gcov* \ + gnat/bin/gnat2* gnat/bin/xml2* gnat/bin/gnatcoll* \ + gnat/bin/gnat{doc,metric,pp,stub,prep,test,check,elim,inspect,find,kr} \ + gnat/bin/gnat{xref,name} \ + gnat/bin/gpr* gnat/bin/templates* gnat/bin/web* gnat/bin/wsdl* \ + gnat/bin/{gdb,cpp,c++,g++} gnat/bin/x86_64-* \ + gnat/lib/libcc1* gnat/lib/libgomp* gnat/lib/libitm* gnat/lib/libasan* \ + gnat/lib/libatomic* gnat/lib/libobjc* gnat/lib/libssp* \ + gnat/lib/libstdc++* gnat/lib/libubsan* gnat/lib/libsupc++* \ + gnat/lib/libxmlada* \ + gnat/libexec/gcc/x86*/*/{cc1obj,cc1plus,lto1} + +echo "2019" > gnat/etc/install_ok diff --git a/scripts/man.sh b/scripts/man.sh new file mode 100755 index 000000000..4c5316ddb --- /dev/null +++ b/scripts/man.sh @@ -0,0 +1,15 @@ +#! /bin/bash + +cd $(dirname $0)/.. + +rm -rf doc/_build/man/* + +set -e + +docker run --rm -it \ + -v /$(pwd):/src \ + -w //src/doc \ + btdi/sphinx:featured \ + sh -c "sphinx-build -T -b man . ./_build/man" + +nroff -man doc/_build/man/ghdl.1 diff --git a/scripts/msys2-llvm/PKGBUILD b/scripts/msys2-llvm/PKGBUILD new file mode 100644 index 000000000..9abac48af --- /dev/null +++ b/scripts/msys2-llvm/PKGBUILD @@ -0,0 +1,34 @@ +_realname=ghdl +pkgbase=mingw-w64-${_realname} +pkgname=("${MINGW_PACKAGE_PREFIX}-${_realname}") +pkgver=ci +pkgrel=1 +pkgdesc="GHDL: the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL (LLVM backend) (mingw-w64)" +arch=('any') +provides=("${MINGW_PACKAGE_PREFIX}-${_realname}") +pkgname=("${MINGW_PACKAGE_PREFIX}-${_realname}-llvm") +depends=( + "${MINGW_PACKAGE_PREFIX}-clang" + "${MINGW_PACKAGE_PREFIX}-gcc-ada" + 'zlib-devel' +) + +build() { + mkdir "${srcdir}/builddir" + cd "${srcdir}/builddir" + export CC=clang + export CXX=clang++ + ../../../../configure \ + --prefix=${MINGW_PREFIX} \ + --with-llvm-config="llvm-config --link-static" \ + LDFLAGS="-static" \ + --enable-libghdl \ + --enable-synth + make GNATMAKE="gnatmake -j$(nproc)" +} + +package() { + cd "${srcdir}/builddir" + mkdir -p "${pkgdir}${MINGW_PREFIX}/lib" + make DESTDIR="${pkgdir}" install +} diff --git a/scripts/msys2-mcode/PKGBUILD b/scripts/msys2-mcode/PKGBUILD new file mode 100644 index 000000000..853b0429b --- /dev/null +++ b/scripts/msys2-mcode/PKGBUILD @@ -0,0 +1,31 @@ +_realname=ghdl +pkgbase=mingw-w64-${_realname} +pkgname=("${MINGW_PACKAGE_PREFIX}-${_realname}") +pkgver=ci +pkgrel=1 +pkgdesc="GHDL: the open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL (mcode backend) (mingw-w64)" +arch=('any') +provides=("${MINGW_PACKAGE_PREFIX}-${_realname}") +pkgname=("${MINGW_PACKAGE_PREFIX}-${_realname}-mcode") +depends=( + "${MINGW_PACKAGE_PREFIX}-gcc-ada" + 'zlib-devel' +) +makedepends=("${MINGW_PACKAGE_PREFIX}-gcc") + +build() { + mkdir "${srcdir}/builddir" + cd "${srcdir}/builddir" + ../../../../configure \ + --prefix=${MINGW_PREFIX} \ + LDFLAGS=-static \ + --enable-libghdl \ + --enable-synth + make GNATMAKE="gnatmake -j$(nproc)" +} + +package() { + cd "${srcdir}/builddir" + mkdir -p "${pkgdir}${MINGW_PREFIX}/lib" + make DESTDIR="${pkgdir}" install +} diff --git a/scripts/termux.sh b/scripts/termux.sh new file mode 100755 index 000000000..f2b115850 --- /dev/null +++ b/scripts/termux.sh @@ -0,0 +1,15 @@ +# Build and install GHDL on termux (https://termux.com/) + +cd $(dirname "$0")/.. + +curl -fsSL https://its-pointless.github.io/setup-pointless-repo.sh | bash - +pkg install gnat-10 llvm clang make +setupgcc-10 +setup-patchforgcc + +mkdir -p build-termux +cd build-termux +CXX=clang++ +../configure --default-pic --enable-synth --with-llvm-config=llvm-config --prefix="$PREFIX" +make +make install diff --git a/scripts/windows/InstallerTemplate.ps1 b/scripts/windows/InstallerTemplate.ps1 new file mode 100644 index 000000000..f04a89ad4 --- /dev/null +++ b/scripts/windows/InstallerTemplate.ps1 @@ -0,0 +1,359 @@ +# EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- +# vim: tabstop=2:shiftwidth=2:noexpandtab +# kate: tab-width 2; replace-tabs off; indent-width 2; +# +# ============================================================================== +# Authors: Patrick Lehmann +# +# PowerShell Script: Self-extracting (ZIP) installer for GHDL for Windows +# +# Description: +# ------------------------------------ +# This is a PowerShell script (executable) which: +# - writes a ZIP file form an internal BLOB variable (base64 encoded) +# - extract the ZIP file's content to a destination directory +# +# ============================================================================== +# Copyright (C) 2015-2017 Patrick Lehmann +# +# GHDL is free software; you can redistribute it and/or modify it under +# the terms of the GNU General Public License as published by the Free +# Software Foundation; either version 2, or (at your option) any later +# version. +# +# GHDL is distributed in the hope that it will be useful, but WITHOUT ANY +# WARRANTY; without even the implied warranty of MERCHANTABILITY or +# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +# for more details. +# +# You should have received a copy of the GNU General Public License +# along with GHDL; see the file COPYING. If not, write to the Free +# Software Foundation, 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# ============================================================================== + +# .SYNOPSIS +# GHDL for Windows - GHDL installer script +# Use 'install.ps1 -Help' to see the integrated help page +# +# .EXAMPLE +# # +# # Normal flow +# PS> .\install.ps1 -Install +# +# # Advanced flow +# PS> .\compile.ps1 -AddToPath Machine -Install "C:\Tools\GHDL" +# +[CmdletBinding()] +Param( + # install all files into a directory (xcopy deployment) + [switch]$Install = $false, + [Parameter(Mandatory=$false, ValueFromRemainingArguments=$true)] + [String]$InstallDir = "", + # update files + [switch]$Update, + + # register GHDL in PATH + [Parameter(Mandatory=$false)] + [ValidateSet("Machine", "User", "Session", "Remove", "Pass")] + [String]$AddToPath = "", + + # display this help" + [switch]$Help +) + +# save parameters and current working directory +$Script_ScriptDir = $PSScriptRoot +$Script_WorkingDir = Get-Location +$GHDLRootDir = Convert-Path (Resolve-Path ($PSScriptRoot + "\" + $RelPathToRoot)) + +# set default values +$EnableVerbose = $PSCmdlet.MyInvocation.BoundParameters["Verbose"] +$EnableDebug = $PSCmdlet.MyInvocation.BoundParameters["Debug"] +if ($EnableVerbose -eq $null) { $EnableVerbose = $false } +if ($EnableDebug -eq $null) { $EnableDebug = $false } +if ($EnableDebug -eq $true) { $EnableVerbose = $true } + +# Display help if no command was selected +$Help = $Help -or (-not ($Install -or $Update)) + +# configure some variables: paths, executables, directory names, ... +$GHDLVersion = "0.34-dev" +$GHDLBackend = "mcode" +$DefaultInstallPath = "C:\Program Files (x86)\GHDL" # This is the default path for 32-bit applications (x86-32) + +Write-Host "================================================================================" -ForegroundColor Magenta +Write-Host "GHDL for Windows - GHDL install script" -ForegroundColor Magenta +Write-Host "================================================================================" -ForegroundColor Magenta + +function Exit-Script +{ [CmdletBinding()] + param( + [int]$ExitCode = 0 + ) + cd $Script_WorkingDir + exit $ExitCode +} + +if ($Help) +{ Get-Help $MYINVOCATION.InvocationName -Detailed + Exit-Script +} + +$EnvPath_ContainerMapping = @{ + Machine = [EnvironmentVariableTarget]::Machine + User = [EnvironmentVariableTarget]::User +} + +# GitHub user: https://github.com/mkropat +# Gist account at GitHub: https://gist.github.com/mkropat +# Gist snippet URL: https://gist.github.com/mkropat/c1226e0cc2ca941b23a9 +function Add-EnvPath +{ param( + [Parameter(Mandatory=$true)] + [string] $Path, + + [ValidateSet("Machine", "User", "Session")] + [string] $Container = "Session" + ) + + if ($Container -ne "Session") + { $containerType = $EnvPath_ContainerMapping[$Container] + $persistedPaths = [Environment]::GetEnvironmentVariable("Path", $containerType) -split ";" + if ($persistedPaths -notcontains $Path) + { $persistedPaths = $persistedPaths + $Path | where { $_ } + [Environment]::SetEnvironmentVariable("Path", $persistedPaths -join ";", $containerType) + } + } + + $envPaths = $env:Path -split ";" + if ($envPaths -notcontains $Path) + { $envPaths = $envPaths + $Path | where { $_ } + $env:Path = $envPaths -join ";" + } +} + +# GitHub user: https://github.com/mkropat +# Gist account at GitHub: https://gist.github.com/mkropat +# Gist snippet URL: https://gist.github.com/mkropat/c1226e0cc2ca941b23a9 +function Remove-EnvPath +{ param ( + [Parameter(Mandatory=$true)] + [string] $Path, + + [ValidateSet("Machine", "User", "Session")] + [string] $Container = "Session" + ) + + if ($Container -ne "Session") + { $containerType = $EnvPath_ContainerMapping[$Container] + $persistedPaths = [Environment]::GetEnvironmentVariable("Path", $containerType) -split ";" + if ($persistedPaths -contains $Path) + { $persistedPaths = $persistedPaths | where { $_ -and $_ -ne $Path } + [Environment]::SetEnvironmentVariable("Path", $persistedPaths -join ";", $containerType) + } + } + + $envPaths = $env:Path -split ";" + if ($envPaths -contains $Path) + { $envPaths = $envPaths | where { $_ -and $_ -ne $Path } + $env:Path = $envPaths -join ";" + } +} + +# GitHub user: https://github.com/mkropat +# Gist account at GitHub: https://gist.github.com/mkropat +# Gist snippet URL: https://gist.github.com/mkropat/c1226e0cc2ca941b23a9 +function Get-EnvPath +{ param ( + [Parameter(Mandatory=$true)] + [ValidateSet("Machine", "User")] + [string] $Container + ) + + $containerType = $EnvPath_ContainerMapping[$Container] + [Environment]::GetEnvironmentVariable('Path', $containerType) -split ";" | where { $_ } +} + +# ============================================================================ +# Base64 encoded zip file content +# ============================================================================ + +# DATASECTION + +# ============================================================================ + + +# ============================================================================ +# Install tasks +# ============================================================================ +if ($Install) +{ Write-Host "Installing GHDL $GHDLVersion for Windows..." + if ($InstallDir -eq "") + { $InstallPath = $DefaultInstallPath + } + else + { $InstallPath = $InstallDir } + $InstallPath = $InstallPath.TrimEnd("\") + + if (Test-Path -Path $InstallPath) + { Write-Host "[ERROR]: Directory '$InstallPath' already exists." -ForegroundColor Red + Exit-Script -1 + } + Write-Host " Install directory: $InstallPath" + Write-Host " Creating directory '$InstallPath' and sub-directories..." + New-Item -ItemType Directory -Path "$InstallPath" -ErrorAction SilentlyContinue | Out-Null + + # writing ZIP file to disk + $TempFilePath = [System.IO.Path]::GetTempFileName().TrimEnd("tmp") + "zip" + Write-Host " Writing temporary ZIP file: $TempFilePath" + $CompressedFileContentAsBytes = [System.Convert]::FromBase64String($CompressedFileContentInBase64) + [System.IO.File]::WriteAllBytes("$TempFilePath", $CompressedFileContentAsBytes) + + Write-Host " Extracting ZIP file to: $InstallPath" + Microsoft.PowerShell.Archive\Expand-Archive "$TempFilePath" -DestinationPath $InstallPath -Force + + Remove-Item $TempFilePath + + + if ($AddToPath -eq "") + { while($true) + { Write-Host " Install GHDL in PATH at machine level? [" -NoNewline -ForegroundColor DarkCyan + Write-Host "M" -NoNewline -ForegroundColor Cyan + Write-Host "achine/" -NoNewline -ForegroundColor DarkCyan + Write-Host "u" -NoNewline -ForegroundColor Cyan + Write-Host "ser/" -NoNewline -ForegroundColor DarkCyan + Write-Host "s" -NoNewline -ForegroundColor Cyan + Write-Host "ession]: " -NoNewline -ForegroundColor DarkCyan + $InstallInPath = (Read-Host).ToLower() + if ($InstallInPath -in "m","u","s") + { break } + else + { Write-Host "[ERROR]: Unsupported choice: '$InstallInPath'." -ForegroundColor Red } + } + } + elseif ($AddToPath -eq "Machine") + { $InstallInPath = "m" } + elseif ($AddToPath -eq "User") + { $InstallInPath = "u" } + elseif ($AddToPath -eq "Session") + { $InstallInPath = "s" } + + if (($InstallInPath -eq "") -or ($InstallInPath -eq "m")) + { Write-Host " Adding GHDL to PATH at machine level." + Add-EnvPath -Path "$InstallPath\bin" -Container "Machine" + Add-EnvPath -Path "$InstallPath\bin" -Container "Session" + } + elseif ($InstallInPath -eq "u") + { Write-Host " Adding GHDL to PATH at user level." + Add-EnvPath -Path "$InstallPath\bin" -Container "User" + Add-EnvPath -Path "$InstallPath\bin" -Container "Session" + } + elseif ($InstallInPath -eq "s") + { Write-Host " Adding GHDL to PATH at session level." + Add-EnvPath -Path "$InstallPath\bin" -Container "Session" + } + + Write-Host + Write-Host "Installing files " -NoNewline + Write-Host "[SUCCESSFUL]" -ForegroundColor Green + Write-Host + + Exit-Script +} # Install +elseif ($Update) +{ Write-Host "Updating GHDL $GHDLVersion for Windows..." + if ($InstallDir -eq "") + { $InstallPath = $DefaultInstallPath + } + else + { $InstallPath = $InstallDir } + $InstallPath = $InstallPath.TrimEnd("\") + + Write-Host " Install directory: $InstallPath" + if (Test-Path -Path $InstallPath) + { Write-Host " Cleaning up installation directory '$InstallPath'." -ForegroundColor Yellow + Get-ChildItem -Path $InstallPath -Depth 0 | foreach { Remove-Item $_.FullName -Recurse -Force } + } + + Write-Host " Creating directory sub-directories in '$InstallPath' ..." + + # writing ZIP file to disk + $TempFilePath = [System.IO.Path]::GetTempFileName() + Write-Host " Writing temporary ZIP file: $TempFilePath" + $CompressedFileContentAsBytes = [System.Convert]::FromBase64String($CompressedFileContentInBase64) + [System.IO.File]::WriteAllBytes("$TempFilePath", $CompressedFileContentAsBytes) + + Write-Host " Extracting ZIP file to: $InstallPath" + Expand-Archive "$TempFilePath" -OutputPath $InstallPath -ShowProgress + + Remove-Item $TempFilePath + + if ($AddToPath -eq "") + { while($true) + { Write-Host " Install GHDL in PATH at machine level? [" -NoNewline -ForegroundColor DarkCyan + Write-Host "M" -NoNewline -ForegroundColor Cyan + Write-Host "achine/" -NoNewline -ForegroundColor DarkCyan + Write-Host "u" -NoNewline -ForegroundColor Cyan + Write-Host "ser/" -NoNewline -ForegroundColor DarkCyan + Write-Host "s" -NoNewline -ForegroundColor Cyan + Write-Host "ession/" -NoNewline -ForegroundColor DarkCyan + Write-Host "r" -NoNewline -ForegroundColor Cyan + Write-Host "emove/" -NoNewline -ForegroundColor DarkCyan + Write-Host "p" -NoNewline -ForegroundColor Cyan + Write-Host "ass]: " -NoNewline -ForegroundColor DarkCyan + $InstallInPath = (Read-Host).ToLower() + if ($InstallInPath -in "m","u","s","r","p") + { break } + else + { Write-Host "[ERROR]: Unsupported choice: '$InstallInPath'." -ForegroundColor Red } + } + } + elseif ($AddToPath -eq "Machine") + { $InstallInPath = "m" } + elseif ($AddToPath -eq "User") + { $InstallInPath = "u" } + elseif ($AddToPath -eq "Session") + { $InstallInPath = "s" } + elseif ($AddToPath -eq "Remove") + { $InstallInPath = "r" } + elseif ($AddToPath -eq "Pass") + { $InstallInPath = "p" } + + if ($InstallInPath -ne "p") + { Write-Host " Removing GHDL from PATH variables in Machine, User, Session ..." -ForegroundColor Yellow + foreach ($container in @("Machine", "User")) + { foreach ($entry in (Get-EnvPath -Container $container)) + { if ($entry.ToLower().Contains("ghdl")) + { Write-Host " Removing '$entry' from $container level." + Remove-EnvPath -Path $entry -Container $container + } + } + } + Remove-EnvPath -Path $entry -Container "Session" + + if (($InstallInPath -eq "") -or ($InstallInPath -eq "m")) + { Write-Host " Adding GHDL to PATH at machine level." + Add-EnvPath -Path "$InstallPath\bin" -Container "Machine" + Add-EnvPath -Path "$InstallPath\bin" -Container "Session" + } + elseif ($InstallInPath -eq "u") + { Write-Host " Adding GHDL to PATH at user level." + Add-EnvPath -Path "$InstallPath\bin" -Container "User" + Add-EnvPath -Path "$InstallPath\bin" -Container "Session" + } + elseif ($InstallInPath -eq "s") + { Write-Host " Adding GHDL to PATH at session level." + Add-EnvPath -Path "$InstallPath\bin" -Container "Session" + } + } + + Write-Host + Write-Host "Updating files " -NoNewline + Write-Host "[SUCCESSFUL]" -ForegroundColor Green + Write-Host + + Exit-Script +} # Update + +Exit-Script diff --git a/scripts/windows/appveyor/build.ps1 b/scripts/windows/appveyor/build.ps1 new file mode 100644 index 000000000..359fb4da7 --- /dev/null +++ b/scripts/windows/appveyor/build.ps1 @@ -0,0 +1,112 @@ +function Restore-NativeCommandStream +{ <# + .SYNOPSIS + This CmdLet gathers multiple ErrorRecord objects and reconstructs outputs + as a single line. + + .DESCRIPTION + This CmdLet collects multiple ErrorRecord objects and emits one String + object per line. + .PARAMETER InputObject + A object stream is required as an input. + .PARAMETER Indent + Indentation string. + #> + [CmdletBinding()] + param( + [Parameter(ValueFromPipeline=$true)] + $InputObject + ) + + begin + { $LineRemainer = "" } + + process + { if ($InputObject -is [System.Management.Automation.ErrorRecord]) + { if ($InputObject.FullyQualifiedErrorId -eq "NativeCommandError") + { Write-Output $InputObject.ToString() } + elseif ($InputObject.FullyQualifiedErrorId -eq "NativeCommandErrorMessage") + { $NewLine = $LineRemainer + $InputObject.ToString() + while (($NewLinePos = $NewLine.IndexOf("`n")) -ne -1) + { Write-Output $NewLine.Substring(0, $NewLinePos) + $NewLine = $NewLine.Substring($NewLinePos + 1) + } + $LineRemainer = $NewLine + } + } + elseif ($InputObject -is [String]) + { Write-Output $InputObject } + else + { Write-Host "Unsupported object in pipeline stream" } + } + + end + { if ($LineRemainer -ne "") + { Write-Output $LineRemainer } + } +} + +#### Environment + +$BUILD_DIRNAME = "$($env:BUILD_MINGW)-$($env:BUILD_BACKEND)" +$GHDL_BUILD_DIR = "$($env:APPVEYOR_BUILD_FOLDER)\build\$BUILD_DIRNAME" + +if ($env:APPVEYOR_REPO_TAG -eq "true") +{ + # There is a tag. Remove the leading v. + $BUILD_VERSION = $($env:APPVEYOR_REPO_TAG_NAME) -creplace "^v", "" +} +else +{ + $BUILD_VERSION = $($env:APPVEYOR_BUILD_VERSION) +} + +$PREFIX_DIRNAME = "$BUILD_VERSION-$BUILD_DIRNAME" + +$GHDL_PREFIX_DIR = "c:/Tools/GHDL/$PREFIX_DIRNAME" +$ZipFile = "ghdl-$PREFIX_DIRNAME.zip" + +$env:GHDL_BUILD_DIR = $GHDL_BUILD_DIR +$env:GHDL_PREFIX_DIR = $GHDL_PREFIX_DIR + +#### Build + +mkdir $GHDL_BUILD_DIR | cd + +if ($env:BUILD_BACKEND -eq "mcode") +{ Write-Host "Configuring GHDL for $($env:BUILD_MINGW), mcode..." -Foreground Yellow + + c:\msys64\usr\bin\bash.exe -c "../../configure --prefix=$GHDL_PREFIX_DIR LDFLAGS=-static" 2>&1 | Restore-NativeCommandStream | %{ "$_" } +} +elseif ($env:BUILD_BACKEND -eq "llvm") +{ Write-Host "Configuring GHDL for $($env:BUILD_MINGW), LLVM..." -Foreground Yellow + + c:\msys64\usr\bin\bash.exe -c "../../configure --prefix=$GHDL_PREFIX_DIR --with-llvm-config='llvm-config --link-static' LDFLAGS='-static' --enable-libghdl --enable-synth CXX=clang++" 2>&1 | Restore-NativeCommandStream | %{ "$_" } +} + +Write-Host "Building GHDL and libraries..." -Foreground Yellow +c:\msys64\usr\bin\make.exe 2>&1 | Restore-NativeCommandStream | %{ "$_" } +$Err = $LastExitCode + +if ($Err -eq 0) +{ + Write-Host "Installing GHDL and libraries..." -Foreground Yellow + c:\msys64\usr\bin\make.exe install 2>&1 | Restore-NativeCommandStream | %{ "$_" } + $Err = $LastExitCode +} + +#### Binaries + +if ($Err -eq 0) +{ + Write-Host "Building binary archives..." -Foreground Yellow + cd c:\Tools + 7z a "$($env:APPVEYOR_BUILD_FOLDER)\$ZipFile" -r "GHDL\$PREFIX_DIRNAME\" + + cd $env:APPVEYOR_BUILD_FOLDER + Push-AppveyorArtifact $ZipFile +} + +cd $env:APPVEYOR_BUILD_FOLDER + +exit $Err diff --git a/scripts/windows/appveyor/info.ps1 b/scripts/windows/appveyor/info.ps1 new file mode 100644 index 000000000..675bbe77e --- /dev/null +++ b/scripts/windows/appveyor/info.ps1 @@ -0,0 +1,68 @@ +function Restore-NativeCommandStream +{ <# + .SYNOPSIS + This CmdLet gathers multiple ErrorRecord objects and reconstructs outputs + as a single line. + + .DESCRIPTION + This CmdLet collects multiple ErrorRecord objects and emits one String + object per line. + .PARAMETER InputObject + A object stream is required as an input. + .PARAMETER Indent + Indentation string. + #> + [CmdletBinding()] + param( + [Parameter(ValueFromPipeline=$true)] + $InputObject + ) + + begin + { $LineRemainer = "" } + + process + { if ($InputObject -is [System.Management.Automation.ErrorRecord]) + { if ($InputObject.FullyQualifiedErrorId -eq "NativeCommandError") + { Write-Output $InputObject.ToString() } + elseif ($InputObject.FullyQualifiedErrorId -eq "NativeCommandErrorMessage") + { $NewLine = $LineRemainer + $InputObject.ToString() + while (($NewLinePos = $NewLine.IndexOf("`n")) -ne -1) + { Write-Output $NewLine.Substring(0, $NewLinePos) + $NewLine = $NewLine.Substring($NewLinePos + 1) + } + $LineRemainer = $NewLine + } + } + elseif ($InputObject -is [String]) + { Write-Output $InputObject } + else + { Write-Host "Unsupported object in pipeline stream" } + } + + end + { if ($LineRemainer -ne "") + { Write-Output $LineRemainer } + } +} + + +Write-Host ("ExecutionPolicy = {0}" -f (Get-ExecutionPolicy)) -Foreground Yellow +Write-Host "List env:..." -Foreground Yellow +dir env: | foreach { Write-Host (" {0}={1}" -f $_.Name,$_.Value) } +Write-Host "Print env:PATH..." -Foreground Yellow +$env:PATH.Split(";") | foreach { Write-Host " $_" } +Write-Host "Print GCC setup..." -Foreground Yellow +gcc.exe -v 2>&1 | Restore-NativeCommandStream | %{ "$_" } +Write-Host "Print GCC search directories..." -Foreground Yellow +gcc.exe -print-search-dirs 2>&1 | Restore-NativeCommandStream | %{ "$_" } + +if ($env:BUILD_BACKEND -eq "llvm") +{ Write-Host "Print CLang setup..." -Foreground Yellow + clang.exe -v 2>&1 | Restore-NativeCommandStream | %{ "$_" } + Write-Host "Print CLang search directories..." -Foreground Yellow + clang.exe -print-search-dirs 2>&1 | Restore-NativeCommandStream | %{ "$_" } +} + +Write-Host "Print gnatls setup..." -Foreground Yellow +gnatls.exe -v 2>&1 | Restore-NativeCommandStream | %{ "$_" } diff --git a/scripts/windows/appveyor/install.ps1 b/scripts/windows/appveyor/install.ps1 new file mode 100644 index 000000000..bf780fa19 --- /dev/null +++ b/scripts/windows/appveyor/install.ps1 @@ -0,0 +1,39 @@ +Write-Host "Installing dependencies ..." -Foreground Yellow +Write-Host "----------------------------------------" -Foreground Yellow +Write-Host "Installing MinGW64 packages ..." -Foreground Yellow + +C:\msys64\usr\bin\pacman -V +# list installed packages and versions +# C:\msys64\usr\bin\pacman -Q + +if ($env:BUILD_MINGW -eq "mingw32") +{ Write-Host "Installing MinGW32 packages ..." -Foreground Yellow + if ($env:BUILD_BACKEND -eq "mcode") + { + } + elseif ($env:BUILD_BACKEND -eq "llvm") + { C:\msys64\usr\bin\pacman -S mingw-w64-i686-llvm mingw-w64-i686-clang --noconfirm + } +} +elseif ($env:BUILD_MINGW -eq "mingw64") +{ Write-Host "Installing MinGW64 packages ..." -Foreground Yellow + if ($env:BUILD_BACKEND -eq "mcode") + { + } + elseif ($env:BUILD_BACKEND -eq "llvm") + { C:\msys64\usr\bin\pacman -S mingw-w64-x86_64-llvm mingw-w64-x86_64-clang --noconfirm + } +} + +Write-Host "Installing NuGet as PackageProvider ..." -Foreground Yellow +Install-PackageProvider NuGet -Force +Import-PackageProvider NuGet -Force +Set-PSRepository -Name PSGallery -InstallationPolicy Trusted + +Write-Host "Installing PowerShell modules ..." -Foreground Yellow +Install-Module Pscx -AllowClobber + +#Write-Host "Check all Write-* CmdLets ..." -Foreground Yellow +#Get-Command -Verb Write | Format-Table + +exit $LastExitCode diff --git a/scripts/windows/appveyor/shared.psm1 b/scripts/windows/appveyor/shared.psm1 new file mode 100644 index 000000000..3335182ff --- /dev/null +++ b/scripts/windows/appveyor/shared.psm1 @@ -0,0 +1,49 @@ +function Restore-NativeCommandStream +{ <# + .SYNOPSIS + This CmdLet gathers multiple ErrorRecord objects and reconstructs outputs + as a single line. + + .DESCRIPTION + This CmdLet collects multiple ErrorRecord objects and emits one String + object per line. + .PARAMETER InputObject + A object stream is required as an input. + .PARAMETER Indent + Indentation string. + #> + [CmdletBinding()] + param( + [Parameter(ValueFromPipeline=$true)] + $InputObject + ) + + begin + { $LineRemainer = "" } + + process + { if ($InputObject -is [System.Management.Automation.ErrorRecord]) + { if ($InputObject.FullyQualifiedErrorId -eq "NativeCommandError") + { Write-Output $InputObject.ToString() } + elseif ($InputObject.FullyQualifiedErrorId -eq "NativeCommandErrorMessage") + { $NewLine = $LineRemainer + $InputObject.ToString() + while (($NewLinePos = $NewLine.IndexOf("`n")) -ne -1) + { Write-Output $NewLine.Substring(0, $NewLinePos) + $NewLine = $NewLine.Substring($NewLinePos + 1) + } + $LineRemainer = $NewLine + } + } + elseif ($InputObject -is [String]) + { Write-Output $InputObject } + else + { Write-Host "Unsupported object in pipeline stream" } + } + + end + { if ($LineRemainer -ne "") + { Write-Output $LineRemainer } + } +} + +Export-ModuleMember -Function 'Restore-NativeCommandStream' diff --git a/scripts/windows/appveyor/test.ps1 b/scripts/windows/appveyor/test.ps1 new file mode 100644 index 000000000..65045f889 --- /dev/null +++ b/scripts/windows/appveyor/test.ps1 @@ -0,0 +1,121 @@ +function Restore-NativeCommandStream +{ <# + .SYNOPSIS + This CmdLet gathers multiple ErrorRecord objects and reconstructs outputs + as a single line. + + .DESCRIPTION + This CmdLet collects multiple ErrorRecord objects and emits one String + object per line. + .PARAMETER InputObject + A object stream is required as an input. + .PARAMETER Indent + Indentation string. + #> + [CmdletBinding()] + param( + [Parameter(ValueFromPipeline=$true)] + $InputObject + ) + + begin + { $LineRemainer = "" } + + process + { if ($InputObject -is [System.Management.Automation.ErrorRecord]) + { if ($InputObject.FullyQualifiedErrorId -eq "NativeCommandError") + { Write-Output $InputObject.ToString() } + elseif ($InputObject.FullyQualifiedErrorId -eq "NativeCommandErrorMessage") + { $NewLine = $LineRemainer + $InputObject.ToString() + while (($NewLinePos = $NewLine.IndexOf("`n")) -ne -1) + { Write-Output $NewLine.Substring(0, $NewLinePos) + $NewLine = $NewLine.Substring($NewLinePos + 1) + } + $LineRemainer = $NewLine + } + } + elseif ($InputObject -is [String]) + { Write-Output $InputObject } + else + { Write-Host "Unsupported object in pipeline stream" } + } + + end + { if ($LineRemainer -ne "") + { Write-Output $LineRemainer } + } +} + +Write-Host "Run testsuites..." -Foreground Yellow +cd "$($env:APPVEYOR_BUILD_FOLDER)\testsuite" +# Use a MinGW compatible path +$env:GHDL="$($env:GHDL_PREFIX_DIR)/bin/ghdl.exe" + +# Exit status +$Err = 0 + +# ============================================================================= +$TestFramework = "GNA" +Write-Host "Running GNA tests..." -Foreground Yellow +cd gna + +$Directories = dir -Directory * +foreach ($Directory in $Directories) +{ $TestName = "GNA test: {0}" -f $Directory.Name + $FileName = $Directory.Name + + Write-Host $TestName -Foreground Yellow + cd $Directory + Add-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Running + $start = Get-Date + c:\msys64\usr\bin\bash.exe -c "./testsuite.sh" 2>&1 | Restore-NativeCommandStream | %{ "$_" } + $end = Get-Date + $TotalMilliseconds = ($end - $start).TotalMilliseconds + if ($LastExitCode -eq 0) + { Write-Host "PASSED" -Foreground Green + Update-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Passed -Duration $TotalMilliseconds + } + else + { Write-Host "FAILED" -Foreground Red + Update-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Failed -Duration $TotalMilliseconds + $Err = 1 + } +} +cd ..\.. + +# ============================================================================= +$TestFramework = "VESTS" +Write-Host "Running VESTS tests..." -Foreground Yellow + +cd vests + +$TestName = "VESTS test:" # {0}" -f $Directory +$FileName = "VESTS" #$Directory + +Write-Host $TestName -Foreground Yellow +# Disable vests. It works but takes ~20 min +if ($true) +{ Add-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Skipped + $start = Get-Date +} +else +{ Add-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Running + $start = Get-Date + c:\msys64\usr\bin\bash.exe -c "./testsuite.sh" 2>&1 | Restore-NativeCommandStream | %{ "$_" } + $end = Get-Date + $TotalMilliseconds = ($end - $start).TotalMilliseconds + if ($LastExitCode -eq 0) + { Write-Host "PASSED" -Foreground Green + Update-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Passed -Duration $TotalMilliseconds + } + else + { Write-Host "FAILED" -Foreground Red + Update-AppveyorTest -Name $TestName -Framework $TestFramework -FileName $FileName -Outcome Failed -Duration $TotalMilliseconds + $Err = 1 + } + cd .. +} + +# ============================================================================= +cd $env:APPVEYOR_BUILD_FOLDER +exit $Err diff --git a/scripts/windows/compile-ghdl.ps1 b/scripts/windows/compile-ghdl.ps1 new file mode 100644 index 000000000..0f126e846 --- /dev/null +++ b/scripts/windows/compile-ghdl.ps1 @@ -0,0 +1,243 @@ +# EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- +# vim: tabstop=2:shiftwidth=2:noexpandtab +# kate: tab-width 2; replace-tabs off; indent-width 2; +# +# ============================================================================== +# Authors: Patrick Lehmann (ported batch file to PowerShell) +# Brian Davis (contributions to the batch file) +# Tristan Gingold (initial batch file for compilations on Windows) +# +# PowerShell Script: Script to compile GHDL for Windows +# +# Description: +# ------------------------------------ +# This is a PowerShell script (executable) which: +# - sets up a compilation environment +# - test all dependencies +# - compiles GHDL with GNAT +# +# ============================================================================== +# Copyright (C) 2002, 2003, 2004, 2005 Tristan Gingold +# Copyright (C) 2015-2017 Patrick Lehmann +# +# GHDL is free software; you can redistribute it and/or modify it under +# the terms of the GNU General Public License as published by the Free +# Software Foundation; either version 2, or (at your option) any later +# version. +# +# GHDL is distributed in the hope that it will be useful, but WITHOUT ANY +# WARRANTY; without even the implied warranty of MERCHANTABILITY or +# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +# for more details. +# +# You should have received a copy of the GNU General Public License +# along with GHDL; see the file COPYING. If not, write to the Free +# Software Foundation, 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# ============================================================================== + +# .SYNOPSIS +# GHDL for Windows - GHDL compile script +# Use 'compile-ghdl.ps1 -Help' to see the integrated help page +# +# .EXAMPLE +# C:\PS> .\compile-ghdl.ps1 -Clean -Compile +# +[CmdletBinding()] +param( + # Display this help" + [switch]$Help = $false, + + # Slean up all files and directories + [switch]$Clean = $false, + [switch]$Clean_GHDL = $false, + + # Compile all targets + [switch]$All = $false, + + # Compile main targets + [switch]$Compile = $false, + # Compile GHDL (simulator) + [switch]$Compile_GHDL = $false, + # Undocumented + [switch]$Test = $false, + # Undocumented + [switch]$Test_GHDL = $false, + + # Build options + # Build a release version + [switch]$Release = $false, + # Set the back-end + [string]$Backend = "mcode", + + # Reduced messages + [switch]$Quiet = $false, + # Skip warning messages. (Show errors only.) + [switch]$SuppressWarnings = $false, + # Halt on errors + [switch]$HaltOnError = $false, + # Undocumented + [switch]$Hosted = $false +) + +# configure script here +$RelPathToRoot = "..\.." + +# --------------------------------------------- +# save parameters and working directory +$Script_ScriptDir = $PSScriptRoot +$Script_WorkingDir = Get-Location +$GHDLRootDir = Convert-Path (Resolve-Path ($PSScriptRoot + "\" + $RelPathToRoot)) + +# set default values +$EnableDebug = [bool]$PSCmdlet.MyInvocation.BoundParameters["Debug"] +$EnableVerbose = [bool]$PSCmdlet.MyInvocation.BoundParameters["Verbose"] -or $EnableDebug + +# load modules from GHDL's 'libraries' directory +Import-Module $PSScriptRoot\shared.psm1 -Verbose:$false -Debug:$false -ArgumentList "$Script_WorkingDir", $Hosted +Import-Module $PSScriptRoot\targets.psm1 -Verbose:$false -Debug:$false + +# Display help if no command was selected +$Help = $Help -or (-not ( + $All -or + $Clean -or $Clean_GHDL -or + $Compile -or $Compile_GHDL -or + $Test -or $Test_GHDL + )) + +if (-not $Hosted) +{ Write-Host "================================================================================" -ForegroundColor Magenta + Write-Host "GHDL for Windows - GHDL compile script" -ForegroundColor Magenta + Write-Host "================================================================================" -ForegroundColor Magenta +} + +if ($Help) +{ Get-Help $MYINVOCATION.InvocationName -Detailed + Exit-CompileScript +} + +if ($Clean) +{ $Clean_GHDL = $true +} +if ($All) +{ $Compile = $true +} +if ($Compile) +{ $Compile_GHDL = $true +} +if ($Test) +{ $Test_GHDL = $true +} + +# configure some variables: paths, executables, directory names, ... +$BuildDirectoryName = "build" + +# Parameter checks +if ($Backend -ne "mcode") +{ Write-Host "[ERROR]: Back-end '$Backend' is not supported on Windows." -ForegroundColor Red + Exit-CompileScript -1 +} + +# construct directories +$BinaryDestinationDirectory = "$GHDLRootDir\$BuildDirectoryName\$Backend" +# construct executables +$GHDLNewExecutable = "$GHDLRootDir\$BuildDirectoryName\$Backend\bin\ghdl.exe" + +# grep GHDL version string from Ada source file +$GHDLVersion = Get-GHDLVersion $GHDLRootDir +# compute some variables +$BuildRelease = if ($Release) { "Release" } else { "Development" } +if (-not $Hosted) +{ Write-Host " Version: $GHDLVersion" + Write-Host " Release: $BuildRelease" +} + +$Git_IsGitRepo = Test-GitRepository +# gather git information +if ($Git_IsGitRepo) +{ $Git_Branch_Name = & git rev-parse --abbrev-ref HEAD + $Git_Commit_DateString = & git log -1 --format=%cd --date=short + $Git_Commit_ShortHash = & git rev-parse --short HEAD + + if (-not $Hosted) + { Write-Host " Git branch: $Git_Branch_Name" + Write-Host " Git commit: $Git_Commit_DataString ($Git_Commit_ShortHash)" + } +} +if (-not $Hosted) +{ Write-Host "" } + +if ($Release) +{ $BuildDirectory = $BinaryDestinationDirectory } +else +{ $BuildDirectory = $BinaryDestinationDirectory } + + +# ============================================================================== +# Main Target: Clean +# ============================================================================== +if ($Clean_GHDL) +{ $error = Invoke-Clean $BuildDirectory -Quiet:$Quiet -Verbose:$EnableVerbose -Debug:$EnableDebug + if ($error -eq $true) + { Write-Host " [FAILED]" -ForegroundColor Red + Exit-CompileScript -1 + } +} # Clean + + +# ============================================================================== +# Main Target: GHDL +# ============================================================================== +if ($Compile_GHDL) +{ # create a build directory + $error = New-BuildDirectory $BuildDirectory + if ($error -eq $true) + { Write-Host " [FAILED]" -ForegroundColor Red + Exit-CompileScript -1 + } + + # patch the version file if it's no release build + if (-not $Release -and $Git_IsGitRepo) + { $error = Invoke-PatchVersionFile $GHDLRootDir $Git_Branch_Name $Git_Commit_DateString $Git_Commit_ShortHash + if ($error -eq $true) + { Write-Host " [FAILED]" -ForegroundColor Red + Exit-CompileScript -1 + } + } + + # build C source files + $error = Invoke-CompileCFiles $GHDLRootDir $BinaryDestinationDirectory + if ($error -eq $true) + { Write-Host " [FAILED]" -ForegroundColor Red + Exit-CompileScript -1 + } + + # build Ada source files + $error = Invoke-CompileGHDLAdaFiles $GHDLRootDir $BinaryDestinationDirectory + if ($error -eq $true) + { Write-Host " [FAILED]" -ForegroundColor Red + Exit-CompileScript -1 + } + + # strip result + $error = Invoke-StripGHDLExecutable $BinaryDestinationDirectory + if ($error -eq $true) + { Write-Host " [FAILED]" -ForegroundColor Red + Exit-CompileScript -1 + } +} + + +# ============================================================================== +# Main Target: GHDL +# ============================================================================== +if ($Test_GHDL) +{ # running ghdl + $error = Test-GHDLVersion $BuildDir + if ($error -eq $true) + { Write-Host " [FAILED]" -ForegroundColor Red + Exit-CompileScript -1 + } +} # Test + +Exit-CompileScript 0 diff --git a/scripts/windows/compile-libraries.ps1 b/scripts/windows/compile-libraries.ps1 new file mode 100644 index 000000000..a37b78618 --- /dev/null +++ b/scripts/windows/compile-libraries.ps1 @@ -0,0 +1,862 @@ +# EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- +# vim: tabstop=2:shiftwidth=2:noexpandtab +# kate: tab-width 2; replace-tabs off; indent-width 2; +# +# ============================================================================== +# Authors: Patrick Lehmann (ported batch file to PowerShell) +# Brian Davis (contributions to the batch file) +# Tristan Gingold (initial batch file for compilations on Windows) +# +# PowerShell Script: Script to compile VHDL libraries for GHDL +# +# Description: +# ------------------------------------ +# This is a PowerShell script (executable) which: +# - sets up a compilation environment +# - test all dependencies +# - pre processes VHDL files with GHDLFilter +# - analyses VHDL files with GHDL +# +# ============================================================================== +# Copyright (C) 2002, 2003, 2004, 2005 Tristan Gingold +# Copyright (C) 2015-2017 Patrick Lehmann +# +# GHDL is free software; you can redistribute it and/or modify it under +# the terms of the GNU General Public License as published by the Free +# Software Foundation; either version 2, or (at your option) any later +# version. +# +# GHDL is distributed in the hope that it will be useful, but WITHOUT ANY +# WARRANTY; without even the implied warranty of MERCHANTABILITY or +# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +# for more details. +# +# You should have received a copy of the GNU General Public License +# along with GHDL; see the file COPYING. If not, write to the Free +# Software Foundation, 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# ============================================================================== + +# .SYNOPSIS +# GHDL for Windows - Library compile script +# Use 'compile-libraries.ps1 -Help' to see the integrated help page +# +# .EXAMPLE +# C:\PS> .\compile-libraries.ps1 -Clean +# .EXAMPLE +# C:\PS> .\compile-libraries.ps1 -Compile -Verbose +# .EXAMPLE +# C:\PS> .\compile-libraries.ps1 -VHDL2008 -SuppressWarnings +# +[CmdletBinding()] +param( + # Display this help" + [switch]$Help = $false, + + # Clean up all files and directories + [switch]$Clean = $false, + + # Compile all library files + [switch]$Compile = $false, + + # Set VHDL Standard to '87 + [switch]$VHDL87 = $false, + # Set VHDL Standard to '93 + [switch]$VHDL93 = $false, + # Set VHDL Standard to '08 + [switch]$VHDL2008 = $false, + + # Skip warning messages. (Show errors only.) + [switch]$SuppressWarnings = $false, + # Halt on errors + [switch]$HaltOnError = $false, + + # Set GHDL executable + [string]$GHDL = "", + # Undocumented + [switch]$Hosted = $false +) + +# configure script here +$RelPathToRoot = "..\.." + +# --------------------------------------------- +# save parameters and working directory +$Script_ScriptDir = $PSScriptRoot +$Script_WorkingDir = Get-Location +$GHDLRootDir = Convert-Path (Resolve-Path ($PSScriptRoot + "\" + $RelPathToRoot)) + +# set default values +$EnableDebug = [bool]$PSCmdlet.MyInvocation.BoundParameters["Debug"] +$EnableVerbose = [bool]$PSCmdlet.MyInvocation.BoundParameters["Verbose"] -or $EnableDebug + +# load modules from GHDL's 'libraries' directory +Import-Module $PSScriptRoot\shared.psm1 -Verbose:$false -Debug:$false -ArgumentList "$Script_WorkingDir", $Hosted + +# Display help if no command was selected +$Help = $Help -or (-not ($Compile -or $VHDL87 -or $VHDL93 -or $VHDL2008 -or $Clean)) + +if ($Help) +{ Get-Help $MYINVOCATION.InvocationName -Detailed + Exit-CompileScript +} +if ($Compile) +{ $VHDL87 = $true + $VHDL93 = $true + $VHDL2008 = $true +} + +# configure some variables: paths, executables, directory names, ... +$VHDLLibrariesSourceDirectoryName = "libraries" +$VHDLLibrariesDestinationDirectoryName = "lib" +$BuildDirectoryName = "build" +$Backend = "mcode" + +# construct directories +$VHDLSourceLibraryDirectory = "$GHDLRootDir\$VHDLLibrariesSourceDirectoryName" +$VHDLDestinationLibraryDirectory = "$GHDLRootDir\$BuildDirectoryName\$Backend\$VHDLLibrariesDestinationDirectoryName" +# construct executables +$GHDLNewExecutable = "$GHDLRootDir\$BuildDirectoryName\$Backend\bin\ghdl.exe" + + +# Library sources +$SourceFiles = @{ + "std" = @( + "textio", "textio-body" + ); + "ieee" = @( + "std_logic_1164", "std_logic_1164-body", + "numeric_std", "numeric_std-body", + "numeric_bit", "numeric_bit-body" + ); + "math" = @( + "math_real", "math_real-body", + "math_complex", "math_complex-body" + ); + "std08" = @( + "textio", "textio-body", + "env", "env-body" + ); + "ieee2008" = @( + "std_logic_1164", "std_logic_1164-body", + "std_logic_textio", + "math_real", "math_real-body", + "math_complex", "math_complex-body", + "numeric_bit", "numeric_bit-body", + "numeric_bit_unsigned", "numeric_bit_unsigned-body", + "numeric_std", "numeric_std-body", + "numeric_std_unsigned", "numeric_std_unsigned-body", + "fixed_float_types", + "fixed_generic_pkg", "fixed_generic_pkg-body", + "fixed_pkg", + "float_generic_pkg", "float_generic_pkg-body", + "float_pkg", + "ieee_std_context", + "ieee_bit_context" + ); + "vital95" = @( + "vital_timing", "vital_timing-body", + "vital_primitives", "vital_primitives-body" + ); + "vital2000" = @( + "timing_p", "timing_b", + "prmtvs_p", "prmtvs_b", + "memory_p", "memory_b" + ); + "synopsys" = @( + "std_logic_arith", + "std_logic_unsigned", + "std_logic_signed" + ); + "synopsys8793" = @( + "std_logic_textio", + "std_logic_misc", "std_logic_misc-body" + ); + "mentor" = @( + "std_logic_arith", "std_logic_arith-body" + ) +} + +if (-not $Hosted) +{ Write-Host "================================================================================" -ForegroundColor Yellow + Write-Host "GHDL ($Backend) for Windows - Library compile script" -ForegroundColor Yellow + Write-Host "================================================================================" -ForegroundColor Yellow +} + +if ($Clean) +{ Write-Host "Removing all created files and directories..." -ForegroundColor Yellow + if (Test-Path -Path $VHDLDestinationLibraryDirectory) + { $EnableVerbose -and (Write-Host " rmdir $VHDLDestinationLibraryDirectory") | Out-Null + Remove-Item $VHDLDestinationLibraryDirectory -Force -Recurse -ErrorAction SilentlyContinue + if ($? -eq $false) + { Write-Host "[ERROR]: Cannot remove '$VHDLDestinationLibraryDirectory'." -ForegroundColor Red + Exit-CompileScript -1 + } + } + if (-not ($VHDL87 -or $VHDL93 -or $VHDL2008)) + { Exit-CompileScript } +} + +# get GHDL executable +if ($GHDL -ne "") +{ $GHDLExecutable = $GHDL } +elseif (Test-Path env:GHDL) +{ $GHDLExecutable = $env:GHDL } +elseif (Test-Path $GHDLNewExecutable -PathType Leaf) +{ $GHDLExecutable = $GHDLNewExecutable } +else +{ $GHDLExecutable = "ghdl.exe" } + +if (-not (Test-Path $GHDLExecutable -PathType Leaf)) +{ Write-Host "GHDL executable 'ghdl.exe' not found." -ForegroundColor Red + Write-Host "Use adv. options '-GHDL' to set the GHDL executable." -ForegroundColor Yellow + Exit-CompileScript -1 +} + + +$ErrorCount = 0 +if ($VHDL87 -or $VHDL93 -or $VHDL2008) +{ Write-Host "Compiling VHDL Libraries..." + Write-Host "Preparing..." + + # create lib directory if it does not exist + if (Test-Path -Path $VHDLDestinationLibraryDirectory) + { $EnableVerbose -and (Write-Host " Directory '$VHDLDestinationLibraryDirectory' already exists.") | Out-Null + + # change working directory to VHDLDestinationLibraryDirectory + $EnableVerbose -and (Write-Host " cd $VHDLDestinationLibraryDirectory") | Out-Null + Set-Location $VHDLDestinationLibraryDirectory + + $EnableVerbose -and (Write-Host " Cleaning up directory...") | Out-Null + Remove-Item ./* -Force -Recurse -ErrorAction SilentlyContinue + } + else + { $EnableVerbose -and (Write-Host " Creating directory '$VHDLDestinationLibraryDirectory'.") | Out-Null + New-Item -ItemType Directory -Path $VHDLDestinationLibraryDirectory -ErrorAction SilentlyContinue | Out-Null + if (-not $?) + { Write-Host "[ERROR]: Cannot create destination directory '$VHDLDestinationLibraryDirectory'." -ForegroundColor Red + Exit-CompileScript -1 + } + + # change working directory to VHDLDestinationLibraryDirectory + $EnableVerbose -and (Write-Host " Change working directory to $VHDLDestinationLibraryDirectory") | Out-Null + Set-Location $VHDLDestinationLibraryDirectory + } + + Write-Host + Write-Host "Start compilation..." +} +# ============================================================================ +# v87 +# ============================================================================ +if ($VHDL87) +{ $VHDLVersion = "87" + Write-Host "Compiling libraries for VHDL-$VHDLVersion" -ForegroundColor Cyan + + # ---------------------------------------------------------------------- + # v87\std + # ---------------------------------------------------------------------- + $VHDLLibrary = "std" + Write-Host " Compiling library '$VHDLLibrary'..." -ForegroundColor DarkCyan + + $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLLibrary\v$VHDLVersion" + New-LibraryDirectory $LibraryDirectory # $EnableVerbose + Set-Location $LibraryDirectory + + $VHDLSourcesIndex = "std" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C --bootstrap --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + # ---------------------------------------------------------------------- + # v87\ieee + # ---------------------------------------------------------------------- + $VHDLLibrary = "ieee" + $VHDLFlavor = "ieee" + Write-Host " Compiling library '$VHDLLibrary'..." -ForegroundColor DarkCyan + + $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" + New-LibraryDirectory $LibraryDirectory # $EnableVerbose + Set-Location $LibraryDirectory + + $VHDLSourcesIndex = "ieee" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + # ---------------------------------------------------------------------- + # v87\synopsys + # ---------------------------------------------------------------------- + $VHDLLibrary = "ieee" + $VHDLFlavor = "synopsys" + Write-Host " Compiling library '$VHDLLibrary' ($VHDLFlavor)..." -ForegroundColor DarkCyan + + $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" + New-LibraryDirectory $LibraryDirectory # $EnableVerbose + Set-Location $LibraryDirectory + + $VHDLSourcesIndex = "ieee" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + foreach ($SourceFile in $SourceFiles[$VHDLFlavor] + $SourceFiles["synopsys8793"]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + Get-Content "$VHDLSourceLibraryDirectory\$VHDLFlavor\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + $VHDLSourcesIndex = "vital95" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } +} +# ============================================================================ +# v93 +# ============================================================================ +if ($VHDL93) +{ $VHDLVersion = "93" + Write-Host "Compiling libraries for VHDL-$VHDLVersion" -ForegroundColor Cyan + + # ---------------------------------------------------------------------- + # v93\std + # ---------------------------------------------------------------------- + $VHDLLibrary = "std" + Write-Host " Compiling library '$VHDLLibrary'..." -ForegroundColor DarkCyan + + $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLLibrary\v$VHDLVersion" + New-LibraryDirectory $LibraryDirectory # $EnableVerbose + Set-Location $LibraryDirectory + + $VHDLSourcesIndex = "std" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C --bootstrap --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + # ---------------------------------------------------------------------- + # v93\ieee + # ---------------------------------------------------------------------- + $VHDLLibrary = "ieee" + $VHDLFlavor = "ieee" + Write-Host " Compiling library '$VHDLLibrary'..." -ForegroundColor DarkCyan + + $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" + New-LibraryDirectory $LibraryDirectory # $EnableVerbose + Set-Location $LibraryDirectory + + $VHDLSourcesIndex = "ieee" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex] + $SourceFiles["math"]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + $VHDLSourcesIndex = "vital2000" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + # ---------------------------------------------------------------------- + # v93\synopsys + # ---------------------------------------------------------------------- + $VHDLLibrary = "ieee" + $VHDLFlavor = "synopsys" + Write-Host " Compiling library '$VHDLLibrary' ($VHDLFlavor)..." -ForegroundColor DarkCyan + + $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" + New-LibraryDirectory $LibraryDirectory # $EnableVerbose + Set-Location $LibraryDirectory + + $VHDLSourcesIndex = "ieee" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex] + $SourceFiles["math"]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + foreach ($SourceFile in $SourceFiles[$VHDLFlavor] + $SourceFiles["synopsys8793"]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLFlavor\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + $VHDLSourcesIndex = "vital2000" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + # ---------------------------------------------------------------------- + # v93\mentor + # ---------------------------------------------------------------------- + $VHDLLibrary = "ieee" + $VHDLFlavor = "mentor" + Write-Host " Compiling library '$VHDLLibrary' ($VHDLFlavor)..." -ForegroundColor DarkCyan + + $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" + New-LibraryDirectory $LibraryDirectory # $EnableVerbose + Set-Location $LibraryDirectory + + $VHDLSourcesIndex = "ieee" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex] + $SourceFiles["math"]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + foreach ($SourceFile in $SourceFiles[$VHDLFlavor]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLFlavor\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + $VHDLSourcesIndex = "vital2000" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } +} +# ============================================================================== +# v08 +# ============================================================================== +if ($VHDL2008) +{ $VHDLVersion = "08" + Write-Host "Compiling libraries for VHDL-$VHDLVersion" -ForegroundColor Cyan + + # ---------------------------------------------------------------------- + # v08\std + # ---------------------------------------------------------------------- + $VHDLLibrary = "std" + Write-Host " Compiling library '$VHDLLibrary'..." -ForegroundColor DarkCyan + + $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLLibrary\v$VHDLVersion" + New-LibraryDirectory $LibraryDirectory # $EnableVerbose + Set-Location $LibraryDirectory + + $VHDLSourcesIndex = "std08" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLLibrary\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C --bootstrap --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + # ---------------------------------------------------------------------- + # v08\ieee + # ---------------------------------------------------------------------- + $VHDLLibrary = "ieee" + $VHDLFlavor = "ieee" + Write-Host " Compiling library '$VHDLLibrary'..." -ForegroundColor DarkCyan + + $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" + New-LibraryDirectory $LibraryDirectory # $EnableVerbose + Set-Location $LibraryDirectory + + $VHDLSourcesIndex = "ieee2008" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + $VHDLSourcesIndex = "vital2000" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" -frelaxed-rules --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + # ---------------------------------------------------------------------- + # v08\synopsys + # ---------------------------------------------------------------------- + $VHDLLibrary = "ieee" + $VHDLFlavor = "synopsys" + Write-Host " Compiling library '$VHDLLibrary' ($VHDLFlavor)..." -ForegroundColor DarkCyan + + $LibraryDirectory = "$VHDLDestinationLibraryDirectory\$VHDLFlavor\v$VHDLVersion" + New-LibraryDirectory $LibraryDirectory # $EnableVerbose + Set-Location $LibraryDirectory + + $VHDLSourcesIndex = "ieee2008" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + foreach ($SourceFile in $SourceFiles[$VHDLFlavor]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLFlavor\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + + $VHDLSourcesIndex = "vital2000" + foreach ($SourceFile in $SourceFiles[$VHDLSourcesIndex]) + { Write-Host " file: v$VHDLVersion\$SourceFile.v$VHDLVersion" + $EnableVerbose -and (Write-Host " Patching file for VHDL-$VHDLVersion" ) | Out-Null + $EnableDebug -and (Write-Host " Get-Content `"$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl`" -Encoding Ascii ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Format-VHDLSourceFile -Version `"$VHDLVersion`" ``" -ForegroundColor DarkGray ) | Out-Null + $EnableDebug -and (Write-Host " | Out-File `"$SourceFile.v$VHDLVersion`" -Encoding Ascii" -ForegroundColor DarkGray ) | Out-Null + # Patch file + Get-Content "$VHDLSourceLibraryDirectory\$VHDLSourcesIndex\$SourceFile.vhdl" -Encoding Ascii ` + | Format-VHDLSourceFile -Version "$VHDLVersion" ` + | Out-File "$SourceFile.v$VHDLVersion" -Encoding Ascii + + # Analyze file + $InvokeExpr = "$GHDLExecutable -a -C `"-P../std`" -frelaxed-rules --std=$VHDLVersion --work=$VHDLLibrary $SourceFile.v$VHDLVersion 2>&1" + $EnableVerbose -and (Write-Host " Analyzing file '$SourceFile.v$VHDLVersion'" ) | Out-Null + $EnableDebug -and (Write-Host " $InvokeExpr" -ForegroundColor DarkGray ) | Out-Null + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGHDLLine $SuppressWarnings " " + if (($LastExitCode -ne 0) -or -not $?) + { $ErrorCount += 1 + if ($HaltOnError) + { Exit-CompileScript -1 } + } + } + +} # $VHDL2008 + + +Write-Host "--------------------------------------------------------------------------------" +Write-Host "Compiling VHDL libraries " -NoNewline +if ($ErrorCount -gt 0) +{ Write-Host "[FAILED]" -ForegroundColor Red } +else +{ Write-Host "[SUCCESSFUL]" -ForegroundColor Green } + +Exit-CompileScript diff --git a/scripts/windows/compile.ps1 b/scripts/windows/compile.ps1 new file mode 100644 index 000000000..da3c67731 --- /dev/null +++ b/scripts/windows/compile.ps1 @@ -0,0 +1,765 @@ +# EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- +# vim: tabstop=2:shiftwidth=2:noexpandtab +# kate: tab-width 2; replace-tabs off; indent-width 2; +# +# ============================================================================== +# Authors: Patrick Lehmann (ported batch file to PowerShell) +# Brian Davis (contributions to the batch file) +# Tristan Gingold (initial batch file for compilations on Windows) +# +# PowerShell Script: Script to compile GHDL for Windows +# +# Description: +# ------------------------------------ +# This is a PowerShell script (executable) which: +# - compiles GHDL and GHDLFilter +# - analyses VHDL libraries +# - installs GHDL into a directory (xcopy deploiment) +# +# ============================================================================== +# Copyright (C) 2002, 2003, 2004, 2005 Tristan Gingold +# Copyright (C) 2015-2017 Patrick Lehmann +# +# GHDL is free software; you can redistribute it and/or modify it under +# the terms of the GNU General Public License as published by the Free +# Software Foundation; either version 2, or (at your option) any later +# version. +# +# GHDL is distributed in the hope that it will be useful, but WITHOUT ANY +# WARRANTY; without even the implied warranty of MERCHANTABILITY or +# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +# for more details. +# +# You should have received a copy of the GNU General Public License +# along with GHDL; see the file COPYING. If not, write to the Free +# Software Foundation, 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# ============================================================================== + +# .SYNOPSIS +# GHDL for Windows - GHDL compile script +# Use 'compile.ps1 -Help' to see the integrated help page +# +# .EXAMPLE +# # +# # Normal flow +# PS> .\compile.ps1 -Clean +# PS> .\compile.ps1 -Compile +# PS> .\compile.ps1 -Install +# +# # Combine all commands in a single call +# PS>.\compile.ps1 -Clean -Compile -Install "C:\Tools\GHDL" +# +# # Install to user defined dir +# PS> .\compile.ps1 -Install "C:\Tools\GHDL" +# +# # Update or Uninstall +# PS> .\compile.ps1 -Update +# PS> .\compile.ps1 -Uninstall +# +# # Create a Zip-file +# PS>.\compile.ps1 -Package -Zip +# +[CmdletBinding()] +Param( + # Clean up all files and directories + [switch]$Clean, + [switch]$Clean_GHDL, + [switch]$Clean_Libraries, + + # Compile GHDL + [switch]$Compile, + [switch]$Compile_GHDL, + [switch]$Compile_Libraries, + + # Create an installer package + [switch]$Package, + # Creates a zip-file for xcopy deployment + [switch]$Zip, + # Creates a self-extracting ps1-file for xcopy deployment + [switch]$PS1, + + # Install all files into a directory (xcopy deployment) + [switch]$Install = $false, + [parameter(mandatory=$false, ValueFromRemainingArguments=$true)] + [string]$InstallDir = "", + # Update files + [switch]$Update, + # Uninstall all files from a directory + [switch]$Uninstall, + + # register GHDL in PATH + [Parameter(Mandatory=$false)] + [ValidateSet("Machine", "User", "Session", "Remove", "Pass")] + [String]$AddToPath = "", + + # Display this help" + [switch]$Help +) + +# configure script here +$RelPathToRoot = "..\.." + +# save parameters and current working directory +$Script_ScriptDir = $PSScriptRoot +$Script_WorkingDir = Get-Location +$GHDLRootDir = Convert-Path (Resolve-Path ($PSScriptRoot + "\" + $RelPathToRoot)) + +# set default values +$Hosting = $true +$EnableDebug = [bool]$PSCmdlet.MyInvocation.BoundParameters["Debug"] +$EnableVerbose = [bool]$PSCmdlet.MyInvocation.BoundParameters["Verbose"] -or $EnableDebug + +# load modules from GHDL's 'libraries' directory +Import-Module $PSScriptRoot\shared.psm1 -Verbose:$false -Debug:$false -ArgumentList "$Script_WorkingDir", $Hosting +Import-Module $PSScriptRoot\targets.psm1 -Verbose:$false -Debug:$false + +# Display help if no command was selected +$Help = $Help -or (-not ( + $All -or + $Clean -or $Clean_GHDL -or $Clean_Libraries -or $Clean_Package_Zip -or + $Compile -or $Compile_GHDL -or $Compile_Libraries -or + $Package -or + $Install -or $Update -or $Uninstall + )) + +Write-Host "================================================================================" -ForegroundColor Magenta +Write-Host "GHDL for Windows - GHDL compile and bundle script" -ForegroundColor Magenta +Write-Host "================================================================================" -ForegroundColor Magenta + +if ($Help) +{ Get-Help $MYINVOCATION.InvocationName -Detailed + Exit-CompileScript +} + +if ($All) +{ $Clean = $true + $Compile = $true + $Package = $true +} +if ($Clean) +{ $Clean_GHDL = $true + $Clean_Libraries = $true + $Clean_Package_Zip = $true +} +if ($Compile) +{ $Compile_GHDL = $true + $Compile_Libraries = $true +} + +# configure some variables: paths, executables, directory names, ... +$GHDLVersion = Get-GHDLVersion $GHDLRootDir +$Backend = "mcode" +$WindowsDirName = "dist\windows" #\$Backend" +$BuildDirectoryName = "build" +$BuildBackendDirectoryName = "$BuildDirectoryName\$Backend" +$VHDLLibrariesDirectoryName = "lib" +$PackageDirectoryName = "build\zip\$Backend" +$ZipPackageFileName = "ghdl-$Backend-$GHDLVersion.zip" +$PS1PackageFileName = "ghdl-$Backend-$GHDLVersion.installer.ps1" +$InstallerTemplateFileName = "InstallerTemplate.ps1" +$DefaultInstallPath = "C:\Program Files (x86)\GHDL" # This is the default path for 32-bit applications (x86-32) + +# construct directories +$GHDLWindowsDir = "$GHDLRootDir\$WindowsDirName" +$GHDLBuildDir = "$GHDLRootDir\$BuildBackendDirectoryName" +$GHDLVendorLibraryDir = "$GHDLRootDir\libraries\vendors" +$GHDLCompiledLibraryDir = "$GHDLRootDir\$BuildBackendDirectoryName\$VHDLLibrariesDirectoryName" +$GHDLZipPackageDir = "$GHDLRootDir\$PackageDirectoryName" +$GHDLZipPackageFile = "$GHDLZipPackageDir\$ZipPackageFileName" +$InstallerTemplateFile = "$GHDLWindowsDir\$InstallerTemplateFileName" +$GHDLPS1PackageFile = "$GHDLZipPackageDir\$PS1PackageFileName" + +# construct files +$InstallDirFile = "$BuildDirectoryName\InstallDir.conf" + +$EnvPath_ContainerMapping = @{ + Machine = [EnvironmentVariableTarget]::Machine + User = [EnvironmentVariableTarget]::User +} + +function Exit-Script +{ [CmdletBinding()] + param( + [int]$ExitCode = 0 + ) + cd $Script_WorkingDir + # unload modules + Remove-Module shared -Verbose:$false -Debug:$false + Remove-Module targets -Verbose:$false -Debug:$false + exit $ExitCode +} + +# GitHub user: https://github.com/mkropat +# Gist account at GitHub: https://gist.github.com/mkropat +# Gist snippet URL: https://gist.github.com/mkropat/c1226e0cc2ca941b23a9 +function Add-EnvPath +{ param( + [Parameter(Mandatory=$true)] + [string] $Path, + + [ValidateSet("Machine", "User", "Session")] + [string] $Container = "Session" + ) + + if ($Container -ne "Session") + { $containerType = $EnvPath_ContainerMapping[$Container] + $persistedPaths = [Environment]::GetEnvironmentVariable("Path", $containerType) -split ";" + if ($persistedPaths -notcontains $Path) + { $persistedPaths = $persistedPaths + $Path | where { $_ } + [Environment]::SetEnvironmentVariable("Path", $persistedPaths -join ";", $containerType) + } + } + + $envPaths = $env:Path -split ";" + if ($envPaths -notcontains $Path) + { $envPaths = $envPaths + $Path | where { $_ } + $env:Path = $envPaths -join ";" + } +} + +# GitHub user: https://github.com/mkropat +# Gist account at GitHub: https://gist.github.com/mkropat +# Gist snippet URL: https://gist.github.com/mkropat/c1226e0cc2ca941b23a9 +function Remove-EnvPath +{ param ( + [Parameter(Mandatory=$true)] + [string] $Path, + + [ValidateSet("Machine", "User", "Session")] + [string] $Container = "Session" + ) + + if ($Container -ne "Session") + { $containerType = $EnvPath_ContainerMapping[$Container] + $persistedPaths = [Environment]::GetEnvironmentVariable("Path", $containerType) -split ";" + if ($persistedPaths -contains $Path) + { $persistedPaths = $persistedPaths | where { $_ -and $_ -ne $Path } + [Environment]::SetEnvironmentVariable("Path", $persistedPaths -join ";", $containerType) + } + } + + $envPaths = $env:Path -split ";" + if ($envPaths -contains $Path) + { $envPaths = $envPaths | where { $_ -and $_ -ne $Path } + $env:Path = $envPaths -join ";" + } +} + +# GitHub user: https://github.com/mkropat +# Gist account at GitHub: https://gist.github.com/mkropat +# Gist snippet URL: https://gist.github.com/mkropat/c1226e0cc2ca941b23a9 +function Get-EnvPath +{ param ( + [Parameter(Mandatory=$true)] + [ValidateSet("Machine", "User")] + [string] $Container + ) + + $containerType = $EnvPath_ContainerMapping[$Container] + [Environment]::GetEnvironmentVariable('Path', $containerType) -split ";" | where { $_ } +} + + +if ($false) +{ # Write-Host "Uninstalling GHDL $GHDLVersion for Windows..." + + # Write-Host "[ERROR]: This command is not implemented." -ForegroundColor Red + Exit-Script -1 +} # Uninstall +else +{ # ============================================================================ + # Clean tasks + # ============================================================================ + if ($Clean) + { Write-Host "Removing all created files and directories..." } + + if ($Clean_GHDL) + { $Script_Path = $GHDLWindowsDir + "\compile-ghdl.ps1" + $Script_Parameters = @( + '-Clean', + '-Hosted', + '-Verbose:$EnableVerbose', + '-Debug:$EnableDebug' + ) + + Write-Host "Running compile-ghdl.ps1 -Clean ..." -ForegroundColor DarkCyan + Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + $InvokeExpr = "$Script_Path " + ($Script_Parameters -join " ") + Invoke-Expression $InvokeExpr + if ($LastExitCode -ne 0) + { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + Write-Host "[ERROR]: While executing '$InvokeExpr'." -ForegroundColor Red + Exit-Script -1 + } + else + { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + Write-Host "Completed compile-ghdl.ps1 " -NoNewline + Write-Host "[SUCCESSFUL]" -ForegroundColor Green + Write-Host + } + } # Clean_GHDL + if ($Clean_Libraries) + { if ($Clean_GHDL) + { Write-Host } + + $Script_Path = $GHDLWindowsDir + "\compile-libraries.ps1" + $Script_Parameters = @( + '-Clean', + '-Hosted', + '-Verbose:$EnableVerbose', + '-Debug:$EnableDebug' + ) + + Write-Host "Running compile-libraries.ps1 -Clean ..." -ForegroundColor DarkCyan + Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + $InvokeExpr = "$Script_Path " + ($Script_Parameters -join " ") + Invoke-Expression $InvokeExpr + if ($LastExitCode -ne 0) + { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + Write-Host "[ERROR]: While executing '$InvokeExpr'." -ForegroundColor Red + Exit-Script -1 + } + else + { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + Write-Host "Completed compile-libraries.ps1 " -NoNewline + Write-Host "[SUCCESSFUL]" -ForegroundColor Green + Write-Host + } + } # Clean_Libraries + if ($Clean_Package_Zip) + { if ($Clean_GHDL -or $Clean_Libraries) + { Write-Host } + + Write-Host "Running more clean-up tasks..." -ForegroundColor DarkCyan + Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + Write-Host "Removing installer packages and temporary directories..." -ForegroundColor Yellow + if (Test-Path -Path $GHDLZipPackageDir) + { Write-Host " rmdir $GHDLZipPackageDir" + Remove-Item $GHDLZipPackageDir -Force -Recurse -ErrorAction SilentlyContinue + if ($? -eq $false) + { Write-Host "[ERROR]: While deleting '$GHDLZipPackageDir'." -ForegroundColor Red + Exit-Script -1 + } + } + + if (Test-Path -Path $GHDLZipPackageFile) + { Write-Host " rm $GHDLZipPackageFile" + Remove-Item $GHDLZipPackageFile -Force -Recurse -ErrorAction SilentlyContinue + if ($? -eq $false) + { Write-Host "[ERROR]: While deleting '$GHDLZipPackageFile'." -ForegroundColor Red + Exit-Script -1 + } + } + + Write-Host + Write-Host "Clean " -NoNewline + Write-Host "[SUCCESSFUL]" -ForegroundColor Green + Write-Host + } # Clean_Package_Zip + + # ============================================================================ + # Compile tasks + # ============================================================================ + if ($Compile_GHDL) + { if ($Clean) + { Write-Host } + + $Script_Path = $GHDLWindowsDir + "\compile-ghdl.ps1" + $Script_Parameters = @() + $Script_Parameters = @( + '-All', + '-Hosted', + '-Verbose:$EnableVerbose', + '-Debug:$EnableDebug' + ) + + # Write-Host "Compiling GHDL $GHDLVersion for Windows..." -ForegroundColor DarkCyan + # Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + + Write-Host + Write-Host "Running compile-ghdl.ps1 -All ..." -ForegroundColor DarkCyan + Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + $InvokeExpr = "$Script_Path " + ($Script_Parameters -join " ") + Invoke-Expression $InvokeExpr + if ($LastExitCode -ne 0) + { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + Write-Host "[ERROR]: While executing '$InvokeExpr'." -ForegroundColor Red + Exit-Script -1 + } + else + { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + Write-Host "Completed compile-ghdl.ps1 " -NoNewline + Write-Host "[SUCCESSFUL]" -ForegroundColor Green + Write-Host + } + } # Compile_GHDL + if ($Compile_Libraries) + { if ($Compile_GHDL) + { Write-Host } + + $Script_Path = $GHDLWindowsDir + "\compile-libraries.ps1" + $Script_Parameters = @() + $Script_Parameters = @( + '-Compile', + '-Hosted', + '-Verbose:$EnableVerbose', + '-Debug:$EnableDebug' + ) + + # Write-Host "Compiling GHDL's libraries ..." -ForegroundColor DarkCyan + # Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + + $env:GHDL = "$GHDLBuildDir\ghdl.exe" + Write-Host ("Setting env:GHDL to '" + $env:GHDL + "'") + + Write-Host + Write-Host "Running compile-libraries.ps1 -Compile ..." -ForegroundColor DarkCyan + Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + $InvokeExpr = "$Script_Path " + ($Script_Parameters -join " ") + Invoke-Expression $InvokeExpr + if ($LastExitCode -ne 0) + { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + Write-Host "[ERROR]: While executing '$InvokeExpr'." -ForegroundColor Red + Exit-Script -1 + } + else + { Write-Host "--------------------------------------------------------------------------------" -ForegroundColor DarkCyan + Write-Host "Completed compile-libraries.ps1 " -NoNewline + Write-Host "[SUCCESSFUL]" -ForegroundColor Green + Write-Host + } + } # Compile_GHDL + + # ============================================================================ + # Package tasks + # ============================================================================ + if ($Package) + { Write-Host "Creating an installation package for GHDL $GHDLVersion for Windows" + $Good = $false + + if ($Zip) + { Write-Host "Loading PowerShell Community Extensions (PSCX) " -NoNewline + if ((Get-Module -ListAvailable | Where {$_.Name -like "PSCX"}).Version -ge "3.1.0.0") + { Import-Module Pscx -Verbose:$false + Write-Host "[Done]" -ForegroundColor Green + } + else + { Write-Host "[FAILED]" -ForegroundColor RED + Exit-Script -1 + } + + Write-Host "Output format: zip-file" + Write-Host " Removing old directory '$GHDLZipPackageDir'." + if (Test-Path -Path $GHDLZipPackageDir) + { Remove-Item $GHDLZipPackageDir -Force -Recurse -ErrorAction SilentlyContinue + if ($? -eq $false) + { Write-Host "[ERROR]: While deleting '$GHDLZipPackageDir'." -ForegroundColor Red + Exit-Script -1 + } + } + if (Test-Path -Path $GHDLZipPackageFile) + { Remove-Item $GHDLZipPackageFile -Force -Recurse -ErrorAction SilentlyContinue + if ($? -eq $false) + { Write-Host "[ERROR]: While deleting '$GHDLZipPackageFile'." -ForegroundColor Red + Exit-Script -1 + } + } + + Write-Host " Creating directory '$GHDLZipPackageDir' and sub-directories..." + New-Item -ItemType directory -Path "$GHDLZipPackageDir" -ErrorAction SilentlyContinue | Out-Null + New-Item -ItemType directory -Path "$GHDLZipPackageDir\bin" -ErrorAction SilentlyContinue | Out-Null + New-Item -ItemType directory -Path "$GHDLZipPackageDir\include" -ErrorAction SilentlyContinue | Out-Null + New-Item -ItemType directory -Path "$GHDLZipPackageDir\lib" -ErrorAction SilentlyContinue | Out-Null + + Write-Host " Gathering files..." + # executables + Copy-Item "$GHDLBuildDir\ghdl.exe" "$GHDLZipPackageDir\bin\ghdl.exe" -ErrorAction SilentlyContinue + # include files + Copy-Item "$GHDLRootDir\src\grt\vpi_user.h" "$GHDLZipPackageDir\include" -ErrorAction SilentlyContinue + # pre-compile scripts + Copy-Item $GHDLVendorLibraryDir -Recurse "$GHDLZipPackageDir\lib\vendors" -ErrorAction SilentlyContinue + # pre-compiled libraries + Copy-Item $GHDLCompiledLibraryDir -Recurse "$GHDLZipPackageDir" -ErrorAction SilentlyContinue + + Write-Host " Compressing all files into '$GHDLZipPackageFile'..." + $file = Get-ChildItem $GHDLZipPackageDir -Recurse | Write-Zip -IncludeEmptyDirectories -EntryPathRoot $GHDLZipPackageDir -OutputPath $GHDLZipPackageFile + Write-Host " $([math]::round(($file.Length / 1MB), 3)) MiB written to disk" + + Write-Host + Write-Host "Creating package " -NoNewline + Write-Host "[SUCCESSFUL]" -ForegroundColor Green + Write-Host + + $Good = $true + } + + if ($PS1) + { Write-Host "Creating a self-extracting PowerShell package for GHDL $GHDLVersion for Windows" + + if (-not (Test-Path -Path $GHDLZipPackageFile)) + { Write-Host "[ERROR]: ZIP file '$GHDLZipPackageFile' does not exist." -ForegroundColor Red + Exit-Script -1 + } + + # Read ZIP file and convert it to base64 + $ResolvedPath = Resolve-Path "$GHDLZipPackageFile" + $CompressedFileContentAsBytes = [System.IO.File]::ReadAllBytes("$ResolvedPath") + $CompressedFileContentInBase64 = [System.Convert]::ToBase64String($CompressedFileContentAsBytes) + + # Read a Installer template and add the base64 content + $Installer = Get-Content $InstallerTemplateFile + $Installer = $Installer -replace "# DATASECTION", "`$CompressedFileContentInBase64 = `"$CompressedFileContentInBase64`"" + $Installer | Out-File -FilePath $GHDLPS1PackageFile + + Write-Host + Write-Host "Creating package " -NoNewline + Write-Host "[SUCCESSFUL]" -ForegroundColor Green + Write-Host + + $Good = $true + } + + if (-not $Good) + { Write-Host "[ERROR]: No package format selected." -ForegroundColor Red + Write-Host "Possible formats:" + Write-Host " - Zip-file (-Zip)" + Write-Host + } + } + # ============================================================================ + # Install tasks + # ============================================================================ + if ($Install) + { Write-Host "Installing GHDL $GHDLVersion for Windows..." + if ($InstallDir -eq "") + { if (Test-Path $InstallDirFile -PathType Leaf) + { Write-Host " Reading installation path from '$InstallDirFile' ..." + $InstallPath = Get-Content $InstallDirFile -Encoding Ascii + } + else + { $InstallPath = $DefaultInstallPath } + } + else + { $InstallPath = $InstallDir } + $InstallPath = $InstallPath.TrimEnd("\") + + if ($Zip) + { Write-Host "Loading PowerShell Community Extensions (PSCX) " -NoNewline + if ((Get-Module -ListAvailable | Where {$_.Name -like "PSCX"}).Version -ge "3.1.0.0") + { Import-Module Pscx -Verbose:$false + Write-Host "[Done]" -ForegroundColor Green + } + else + { Write-Host "[FAILED]" -ForegroundColor RED + Exit-Script -1 + } + + Write-Host " Installing from Zip-file..." + + Write-Host "[ERROR]: This command is not implemented." -ForegroundColor Red + } + else + { Write-Host " Writing installation path to '$InstallDirFile'..." + $InstallPath | Out-File -FilePath $InstallDirFile -Encoding Ascii + + if (Test-Path -Path $InstallPath) + { Write-Host "[ERROR]: Directory '$InstallPath' already exists." -ForegroundColor Red + Exit-Script -1 + } + Write-Host " Install directory: $InstallPath" + Write-Host " Creating directory '$InstallPath' and sub-directories..." + New-Item -ItemType directory -Path "$InstallPath" -ErrorAction SilentlyContinue | Out-Null + New-Item -ItemType directory -Path "$InstallPath\bin" -ErrorAction SilentlyContinue | Out-Null + New-Item -ItemType directory -Path "$InstallPath\include" -ErrorAction SilentlyContinue | Out-Null + New-Item -ItemType directory -Path "$InstallPath\lib" -ErrorAction SilentlyContinue | Out-Null + + Write-Host " Copying files..." + # executables + Copy-Item "$GHDLBuildDir\ghdl.exe" "$InstallPath\bin\ghdl.exe" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue + # include files + Copy-Item "$GHDLRootDir\src\grt\vpi_user.h" "$InstallPath\include" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue + # pre-compile scripts + Copy-Item $GHDLVendorLibraryDir -Recurse "$InstallPath\lib" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue + # pre-compiled libraries + Copy-Item $GHDLCompiledLibraryDir -Recurse "$InstallPath" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue + + while($true) + { Write-Host " Install GHDL in PATH at machine level? [" -NoNewline -ForegroundColor DarkCyan + Write-Host "M" -NoNewline -ForegroundColor Cyan + Write-Host "achine/" -NoNewline -ForegroundColor DarkCyan + Write-Host "u" -NoNewline -ForegroundColor Cyan + Write-Host "ser/" -NoNewline -ForegroundColor DarkCyan + Write-Host "s" -NoNewline -ForegroundColor Cyan + Write-Host "ession/" -NoNewline -ForegroundColor DarkCyan + Write-Host "p" -NoNewline -ForegroundColor Cyan + Write-Host "ass]: " -NoNewline -ForegroundColor DarkCyan + $InstallInPath = (Read-Host).ToLower() + if ($InstallInPath -in "m","u","s","p") + { break } + else + { Write-Host "[ERROR]: Unsupported choice: '$InstallInPath'." -ForegroundColor Red } + } + + if (($InstallInPath -eq "") -or ($InstallInPath -eq "m")) + { Write-Host " Adding GHDL to PATH at machine level." + Add-EnvPath -Path "$InstallPath\bin" -Container "Machine" + Add-EnvPath -Path "$InstallPath\bin" -Container "Session" + } + elseif ($InstallInPath -eq "u") + { Write-Host " Adding GHDL to PATH at user level." + Add-EnvPath -Path "$InstallPath\bin" -Container "User" + Add-EnvPath -Path "$InstallPath\bin" -Container "Session" + } + elseif ($InstallInPath -eq "s") + { Write-Host " Adding GHDL to PATH at session level." + Add-EnvPath -Path "$InstallPath\bin" -Container "Session" + } + + Write-Host + Write-Host "Installing files " -NoNewline + Write-Host "[SUCCESSFUL]" -ForegroundColor Green + Write-Host + + Exit-Script + } # Zip + } # Install + elseif ($Update) + { Write-Host "Updating GHDL $GHDLVersion for Windows..." + if (Test-Path $InstallDirFile -PathType Leaf) + { Write-Host " Reading installation path from '$InstallDirFile' ..." + $InstallPath = Get-Content $InstallDirFile -Encoding Ascii + } + else + { if ($InstallDir -eq "") + { } + else + { $InstallPath = $InstallDir } + } + $InstallPath = $InstallPath.TrimEnd("\") + + Write-Host " Install directory: $InstallPath" + if (Test-Path -Path $InstallPath) + { Write-Host " Cleaning up installation directory '$InstallPath'." -ForegroundColor Yellow + Get-ChildItem -Path $InstallPath -Depth 0 | foreach { Remove-Item $_.FullName -Recurse -Force } + } + + + Write-Host " Creating directory sub-directories in '$InstallPath' ..." + New-Item -ItemType directory -Path "$InstallPath\bin" -ErrorAction SilentlyContinue | Out-Null + New-Item -ItemType directory -Path "$InstallPath\include" -ErrorAction SilentlyContinue | Out-Null + New-Item -ItemType directory -Path "$InstallPath\lib" -ErrorAction SilentlyContinue | Out-Null + + Write-Host " Copying files..." + # executables + Copy-Item "$GHDLBuildDir\ghdl.exe" "$InstallPath\bin\ghdl.exe" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue + # include files + Copy-Item "$GHDLRootDir\src\grt\vpi_user.h" "$InstallPath\include" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue + # pre-compile scripts + Copy-Item $GHDLVendorLibraryDir -Recurse "$InstallPath\lib" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue + # pre-compiled libraries + Copy-Item $GHDLCompiledLibraryDir -Recurse "$InstallPath" -Verbose:$EnableVerbose -ErrorAction SilentlyContinue + + if ($AddToPath -eq "") + { while($true) + { Write-Host " Install GHDL in PATH at machine level? [" -NoNewline -ForegroundColor DarkCyan + Write-Host "M" -NoNewline -ForegroundColor Cyan + Write-Host "achine/" -NoNewline -ForegroundColor DarkCyan + Write-Host "u" -NoNewline -ForegroundColor Cyan + Write-Host "ser/" -NoNewline -ForegroundColor DarkCyan + Write-Host "s" -NoNewline -ForegroundColor Cyan + Write-Host "ession/" -NoNewline -ForegroundColor DarkCyan + Write-Host "r" -NoNewline -ForegroundColor Cyan + Write-Host "emove/" -NoNewline -ForegroundColor DarkCyan + Write-Host "p" -NoNewline -ForegroundColor Cyan + Write-Host "ass]: " -NoNewline -ForegroundColor DarkCyan + $InstallInPath = (Read-Host).ToLower() + if ($InstallInPath -in "m","u","s","r","p") + { break } + else + { Write-Host "[ERROR]: Unsupported choice: '$InstallInPath'." -ForegroundColor Red } + } + } + elseif ($AddToPath -eq "Machine") + { $InstallInPath = "m" } + elseif ($AddToPath -eq "User") + { $InstallInPath = "u" } + elseif ($AddToPath -eq "Session") + { $InstallInPath = "s" } + elseif ($AddToPath -eq "Remove") + { $InstallInPath = "r" } + elseif ($AddToPath -eq "Pass") + { $InstallInPath = "p" } + + if ($InstallInPath -ne "p") + { Write-Host " Removing GHDL from PATH variables in Machine, User, Session ..." -ForegroundColor Yellow + foreach ($container in @("Machine", "User")) + { foreach ($entry in (Get-EnvPath -Container $container)) + { if ($entry.ToLower().Contains("ghdl")) + { Write-Host " Removing '$entry' from $container level." + Remove-EnvPath -Path $entry -Container $container + } + } + } + Remove-EnvPath -Path $entry -Container "Session" + + if (($InstallInPath -eq "") -or ($InstallInPath -eq "m")) + { Write-Host " Adding GHDL to PATH at machine level." + Add-EnvPath -Path "$InstallPath\bin" -Container "Machine" + Add-EnvPath -Path "$InstallPath\bin" -Container "Session" + } + elseif ($InstallInPath -eq "u") + { Write-Host " Adding GHDL to PATH at user level." + Add-EnvPath -Path "$InstallPath\bin" -Container "User" + Add-EnvPath -Path "$InstallPath\bin" -Container "Session" + } + elseif ($InstallInPath -eq "s") + { Write-Host " Adding GHDL to PATH at session level." + Add-EnvPath -Path "$InstallPath\bin" -Container "Session" + } + } + + Write-Host + Write-Host "Updating files " -NoNewline + Write-Host "[SUCCESSFUL]" -ForegroundColor Green + Write-Host + + Exit-Script + } # Update + elseif ($Uninstall) + { Write-Host "Uninstalling GHDL $GHDLVersion for Windows..." + if (Test-Path $InstallDirFile -PathType Leaf) + { Write-Host " Reading installation path from '$InstallDirFile' ..." + $InstallPath = Get-Content $InstallDirFile -Encoding Ascii + } + else + { $InstallPath = $DefaultInstallPath } + + Write-Host " Install directory: $InstallPath" + if (Test-Path -Path $InstallPath) + { Write-Host " Removing installation directory '$InstallPath'." -ForegroundColor Yellow + Remove-Item $InstallPath -Recurse -Force -ErrorAction SilentlyContinue + } + + Write-Host " Removing GHDL from PATH variables in Machine, User, Session ..." -ForegroundColor Yellow + foreach ($container in @("Machine", "User")) + { foreach ($entry in (Get-EnvPath -Container $container)) + { if ($entry.ToLower().Contains("ghdl")) + { Write-Host " Removing '$entry' from $container level." + Remove-EnvPath -Path $entry -Container $container + } + } + } + Remove-EnvPath -Path $entry -Container "Session" + + Write-Host + Write-Host "Uninstalling files " -NoNewline + Write-Host "[SUCCESSFUL]" -ForegroundColor Green + Write-Host + + Exit-Script + } # Uninstall + +} # Clean + +Exit-Script diff --git a/scripts/windows/mcode/Makefile.in b/scripts/windows/mcode/Makefile.in new file mode 100644 index 000000000..be1f11516 --- /dev/null +++ b/scripts/windows/mcode/Makefile.in @@ -0,0 +1,54 @@ +PREFIX=/usr/local +target=i686-pc-linux-gnu + +CFLAGS=-O +GNATFLAGS=$(CFLAGS) -gnatn + +GRT_FLAGS=$(CFLAGS) + +all: ghdl_mcode std.v93 std.v87 ieee.v93 ieee.v87 synopsys.v93 synopsys.v87 mentor.v93 + + +GRTSRCDIR=grt + +####grt Makefile.inc + +ghdl_mcode: default_paths.ads $(GRT_ADD_OBJS) mmap_binding.o force + gnatmake -aIghdldrv -aIghdl -aIortho -aIgrt $(GNATFLAGS) ghdl_mcode $(GNAT_BARGS) -largs mmap_binding.o $(GNAT_LARGS) $(GRT_ADD_OBJS) $(GRT_EXTRA_LIB) -Wl,--version-script=$(GRTSRCDIR)/grt.ver -Wl,--export-dynamic + +mmap_binding.o: ortho/mmap_binding.c + $(CC) -c -g -o $@ $< + +default_paths.ads: Makefile + echo "-- DO NOT EDIT" > tmp-dpaths.ads + echo "-- This file is created by Makefile" >> tmp-dpaths.ads + echo "package Default_Paths is" >> tmp-dpaths.ads + echo " Prefix : constant String :=">> tmp-dpaths.ads + echo " \"$(PREFIX)/lib/ghdl/\";" >> tmp-dpaths.ads + echo "end Default_Paths;" >> tmp-dpaths.ads + if test -r $@ && cmp tmp-dpaths.ads $@; then \ + echo "$@ unchanged"; \ + else \ + mv tmp-dpaths.ads $@; \ + fi + $(RM) tmp-dpaths.ads + +force: + +LIB93_DIR:=./lib/v93 +LIB87_DIR:=./lib/v87 +LIBSRC_DIR:=./libraries +ANALYZE=../../../ghdl_mcode -a --ieee=none +REL_DIR=../../.. +VHDLLIBS_COPY_OBJS:=no +CP=cp +LN=ln -s + +./lib: + mkdir $@ + +$(LIB93_DIR) $(LIB87_DIR): ./lib + mkdir $@ + + +####libraries Makefile.inc diff --git a/scripts/windows/mcode/binary_file-format.ads b/scripts/windows/mcode/binary_file-format.ads new file mode 100644 index 000000000..daf2afe2e --- /dev/null +++ b/scripts/windows/mcode/binary_file-format.ads @@ -0,0 +1,3 @@ +with Binary_File.Coff; + +package Binary_File.Format renames Binary_File.Coff; diff --git a/scripts/windows/mcode/compile.bat b/scripts/windows/mcode/compile.bat new file mode 100644 index 000000000..9a1825e46 --- /dev/null +++ b/scripts/windows/mcode/compile.bat @@ -0,0 +1,31 @@ +mkdir build +cd build + +rem Do the compilation +set CFLAGS= -O -Wall + +gcc -c %CFLAGS% ../../../src/grt/grt-cstdio.c +if errorlevel 1 goto failed + +gcc -c %CFLAGS% ../../../src/grt/grt-cvpi.c +if errorlevel 1 goto failed + +gcc -c %CFLAGS% ../../../src/grt/config/clock.c +if errorlevel 1 goto failed + +gcc -c %CFLAGS% ../../../src/ortho/mcode/memsegs_c.c +if errorlevel 1 goto failed + +gcc -c %CFLAGS% -DWITH_GNAT_RUN_TIME ../../../src/grt/config/win32.c +if errorlevel 1 goto failed + +gnatmake %CFLAGS% -gnatn -aI../windows -aI../../../src -aI../../../src/ghdldrv -aI../../../src/psl -aI../../../src/grt -aI../../../src/ortho/mcode -aI../../../src/vhdl -aI../../../src/vhdl/translate ghdl_jit -aI../../../src/ortho -o ghdl.exe -largs grt-cstdio.o clock.o grt-cvpi.o memsegs_c.o win32.o -ldbghelp -Wl,--stack,8404992 +if errorlevel 1 goto failed + +cd .. +exit /b 0 + +:failed +echo "Compilation failed" +cd .. +exit /b 1 diff --git a/scripts/windows/mcode/complib.bat b/scripts/windows/mcode/complib.bat new file mode 100644 index 000000000..e7291ad03 --- /dev/null +++ b/scripts/windows/mcode/complib.bat @@ -0,0 +1,119 @@ +set GHDL=ghdl + +cd build +gnatmake -aI..\windows ghdlfilter +cd .. + +set REL=..\..\.. +set LIBSRC=%REL%\..\..\libraries + +:: +:: library sources +:: +set STD_SRCS= textio textio-body +set IEEE_SRCS= std_logic_1164 std_logic_1164-body numeric_std numeric_std-body numeric_bit numeric_bit-body +set MATH_SRCS= math_real math_real-body math_complex math_complex-body + +set STD08_SRCS= textio textio-body env env-body +set IEEE08_SRCS= std_logic_1164 std_logic_1164-body std_logic_textio math_real math_real-body math_complex math_complex-body numeric_bit numeric_bit-body numeric_bit_unsigned numeric_bit_unsigned-body numeric_std numeric_std-body numeric_std_unsigned numeric_std_unsigned-body fixed_float_types fixed_generic_pkg fixed_generic_pkg-body fixed_pkg float_generic_pkg float_generic_pkg-body float_pkg ieee_bit_context ieee_std_context + +set VITAL95_SRCS= vital_timing vital_timing-body vital_primitives vital_primitives-body +set VITAL2000_SRCS= timing_p timing_b prmtvs_p prmtvs_b memory_p memory_b + +set SYNOPSYS_SRCS= std_logic_arith std_logic_textio std_logic_unsigned std_logic_signed std_logic_misc std_logic_misc-body +set MENTOR_SRCS= std_logic_arith std_logic_arith-body + + +mkdir lib +cd lib + +::::::::::::::::: +echo v87 libraries... + +mkdir v87 +cd v87 + +echo std +mkdir std +cd std +for %%F in (%STD_SRCS%) do %REL%\build\ghdlfilter -v87 < %LIBSRC%\std\%%F.vhdl > %%F.v87 && %REL%\build\%GHDL% -a --std=87 --bootstrap --work=std %%F.v87 +cd .. + +echo ieee +mkdir ieee +cd ieee +for %%F in (%IEEE_SRCS%) do %REL%\build\ghdlfilter -v87 < %LIBSRC%\ieee\%%F.vhdl > %%F.v87 && %REL%\build\%GHDL% -a --std=87 -P..\std --work=ieee %%F.v87 +for %%F in (%VITAL95_SRCS%) do copy %LIBSRC%\vital95\%%F.vhdl %%F.vhd && %REL%\build\%GHDL% -a --std=87 -P..\std --work=ieee %%F.vhd +cd .. + +echo synopsys +mkdir synopsys +cd synopsys +for %%F in (%IEEE_SRCS%) do %REL%\build\%GHDL% -a --std=87 -P..\std --work=ieee ..\ieee\%%F.v87 +for %%F in (%VITAL95_SRCS%) do %REL%\build\%GHDL% -a --std=87 -P..\std --work=ieee ..\ieee\%%F.vhd +for %%F in (%SYNOPSYS_SRCS%) do copy %LIBSRC%\synopsys\%%F.vhdl %%F.vhd && %REL%\build\%GHDL% -a --std=87 -P..\std --work=ieee %%F.vhd +cd .. + +cd .. + +::::::::::::::::: +echo v93 libraries... + +mkdir v93 +cd v93 + +echo std +mkdir std +cd std +for %%F in (%STD_SRCS%) do %REL%\build\ghdlfilter -v93 < %LIBSRC%\std\%%F.vhdl > %%F.v93 && %REL%\build\%GHDL% -a --std=93 --bootstrap --work=std %%F.v93 +cd .. + +echo ieee +mkdir ieee +cd ieee +for %%F in (%IEEE_SRCS%) do %REL%\build\ghdlfilter -v93 < %LIBSRC%\ieee\%%F.vhdl > %%F.v93 && %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee %%F.v93 +for %%F in (%VITAL2000_SRCS%) do copy %LIBSRC%\vital2000\%%F.vhdl %%F.vhd && %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee %%F.vhd +for %%F in (%MATH_SRCS%) do copy %LIBSRC%\ieee\%%F.vhdl %%F.vhd && %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee %%F.vhd +cd .. + +echo synopsys +mkdir synopsys +cd synopsys +for %%F in (%IEEE_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\ieee\%%F.v93 +for %%F in (%VITAL2000_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\ieee\%%F.vhd +for %%F in (%MATH_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\ieee\%%F.vhd +for %%F in (%SYNOPSYS_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\..\v87\synopsys\%%F.vhd +cd .. + +echo mentor +mkdir mentor +cd mentor +for %%F in (%IEEE_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\ieee\%%F.v93 +for %%F in (%VITAL2000_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\ieee\%%F.vhd +for %%F in (%MATH_SRCS%) do %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee ..\ieee\%%F.vhd +for %%F in (%MENTOR_SRCS%) do copy %LIBSRC%\mentor\%%F.vhdl %%F.vhd && %REL%\build\%GHDL% -a --std=93 -P..\std --work=ieee %%F.vhd +cd .. + +cd .. + +::::::::::::::::: +echo v08 libraries... + +mkdir v08 +cd v08 + +echo std +mkdir std +cd std +for %%F in (%STD08_SRCS%) do %REL%\build\ghdlfilter -v08 < %LIBSRC%\std\%%F.vhdl > %%F.v08 && %REL%\build\%GHDL% -a --std=08 --bootstrap --work=std %%F.v08 +cd .. + +echo ieee +mkdir ieee +cd ieee +for %%F in (%IEEE08_SRCS%) do %REL%\build\ghdlfilter -v08 < %LIBSRC%\ieee2008\%%F.vhdl > %%F.v08 && %REL%\build\%GHDL% -a --std=08 -P..\std --work=ieee %%F.v08 +cd .. + +::::::::::::::::: + +cd ..\.. diff --git a/scripts/windows/mcode/default_paths.ads b/scripts/windows/mcode/default_paths.ads new file mode 100644 index 000000000..6b1d9d952 --- /dev/null +++ b/scripts/windows/mcode/default_paths.ads @@ -0,0 +1,9 @@ +with Windows_Default_Path; +pragma Elaborate_All (Windows_Default_Path); + +package Default_Paths is + Install_Prefix : constant String := + Windows_Default_Path.Get_Windows_Exec_Path; + Lib_Prefix : constant String := "lib"; + Shared_Library_Extension : constant String := ".dll"; +end Default_Paths; diff --git a/scripts/windows/mcode/ghdl.nsi b/scripts/windows/mcode/ghdl.nsi new file mode 100644 index 000000000..21ecb50c4 --- /dev/null +++ b/scripts/windows/mcode/ghdl.nsi @@ -0,0 +1,458 @@ +; ghdl.nsi +; +; This script is based on example2.nsi. +; remember the directory, +; Check if administrator +; uninstall support +; TODO: +; * allow multiple version +; * command line installation +; * Allow user install + +;-------------------------------- +!include version.nsi +;-------------------------------- + +; The name of the installer +Name "Ghdl" + +; The file to write +OutFile "ghdl-installer-${VERSION}.exe" + +SetDateSave on + +; The default installation directory +InstallDir $PROGRAMFILES\Ghdl + +; Registry key to check for directory (so if you install again, it will +; overwrite the old one automatically) +InstallDirRegKey HKLM "Software\Ghdl" "Install_Dir" + +LicenseData ..\..\..\COPYING +; LicenseForceSelection + +;-------------------------------- + +; Pages + +Page license +Page components +Page directory +Page instfiles + +UninstPage uninstConfirm +UninstPage instfiles + +;-------------------------------- +Function .onInit + Call IsNT + pop $R0 + StrCmp $R0 1 nt_ok + MessageBox MB_OK|MB_ICONEXCLAMATION "You must use Windows NT (XP/2000/Me...)" + Quit + +nt_ok: + Call IsUserAdmin + Pop $R0 + StrCmp $R0 "true" Admin + MessageBox MB_OK|MB_ICONEXCLAMATION "You must have Admin rights" + Quit + +Admin: + + ;;; Check if already installed. + ReadRegStr $0 HKLM "Software\Ghdl" "Install_Dir" + IfErrors not_installed + ReadRegStr $0 HKLM "Software\Ghdl" "Version" + IfErrors unknown_prev_version + Goto known_version +unknown_prev_version: + StrCpy $0 "(unknown)" +known_version: + MessageBox MB_OKCANCEL|MB_ICONEXCLAMATION "You already have GHDL version $0 installed. Deinstall ?" IDCANCEL install_abort IDOK deinstall +install_abort: + Abort "Installation aborted" +deinstall: + ReadRegStr $0 HKLM "Software\Microsoft\Windows\CurrentVersion\Uninstall\Ghdl" "UninstallString" + IfErrors deinstall_failed + + ; First version of the GHDL installer adds quotes + StrCpy $1 $0 1 + StrCmp $1 '"' 0 str_ok + StrCpy $1 $0 "" 1 + StrCpy $0 $1 -1 +str_ok: + + ; Read install dir + ReadRegStr $1 HKLM "Software\Ghdl" "Install_Dir" + IfErrors deinstall_failed + +; MessageBox MB_OK 'copy $0 to $TEMP' + + ClearErrors +; MessageBox MB_OK 'copy $0 to $TEMP' + CopyFiles $0 $TEMP + IfErrors deinstall_failed + ExecWait '"$TEMP\uninst-ghdl.exe" /S _?=$1' + IfErrors deinstall_failed + Delete "$TEMP\uninst-ghdl.exe" + Return +deinstall_failed: + Delete $TEMP\uninst-ghdl.exe + MessageBox MB_YESNO|MB_ICONSTOP "Can't deinstall GHDL: de-installer not found or failed. Continue installation ?" IDNO install_abort +not_installed: + Return +FunctionEnd + +;-------------------------------- + +; The stuff to install +Section "Ghdl Compiler (required)" + + SectionIn RO + + ; Set output path to the installation directory. + SetOutPath $INSTDIR\bin + File /oname=ghdl.exe ..\build\ghdl.exe + + SetOutPath $INSTDIR + File /oname=COPYING.txt ..\..\..\COPYING + + ; Write the installation path into the registry + WriteRegStr HKLM "Software\Ghdl" "Install_Dir" $INSTDIR + ; Write te version + WriteRegStr HKLM "Software\Ghdl" "Version" ${VERSION} + + ; Write the uninstall keys for Windows + WriteRegStr HKLM "Software\Microsoft\Windows\CurrentVersion\Uninstall\Ghdl" "DisplayName" "Ghdl" + WriteRegStr HKLM "Software\Microsoft\Windows\CurrentVersion\Uninstall\Ghdl" "UninstallString" $INSTDIR\uninst-ghdl.exe + WriteRegDWORD HKLM "Software\Microsoft\Windows\CurrentVersion\Uninstall\Ghdl" "NoModify" 1 + WriteRegDWORD HKLM "Software\Microsoft\Windows\CurrentVersion\Uninstall\Ghdl" "NoRepair" 1 + WriteUninstaller $INSTDIR\uninst-ghdl.exe" + +SectionEnd + +Section "VHDL standard and ieee libraries" + SectionIn RO + SetOutPath $INSTDIR\lib\v87 + File /r ..\lib\v87\std ..\lib\v87\ieee + SetOutPath $INSTDIR\lib\v93 + File /r ..\lib\v93\std ..\lib\v93\ieee + SetOutPath $INSTDIR\lib\v08 + File /r ..\lib\v08\std ..\lib\v08\ieee +SectionEnd + +Section "Synopsys libraries (Recommended)" + SetOutPath $INSTDIR\lib\v87 + File /r ..\lib\v87\synopsys + SetOutPath $INSTDIR\lib\v93 + File /r ..\lib\v93\synopsys +SectionEnd + +Section "Documentation (Recommended)" + SetOutPath $INSTDIR + File /oname=ghdl.htm ..\..\..\doc\ghdl.html +SectionEnd + +Section "Add in PATH (Recommended)" + WriteRegDWORD HKLM "Software\Ghdl" "PathSet" 1 + Push $INSTDIR\Bin + Call AddToPath +SectionEnd + +; Optional section (can be disabled by the user) +;Section "Start Menu Shortcuts" +; +; CreateDirectory "$SMPROGRAMS\Ghdl" +; CreateShortCut "$SMPROGRAMS\Ghdl\Uninstall.lnk" "$INSTDIR\uninstall.exe" "" "$INSTDIR\uninstall.exe" 0 +; CreateShortCut "$SMPROGRAMS\Ghdl\Ghdl.lnk" "$INSTDIR\example2.nsi" "" "$INSTDIR\example2.nsi" 0 +; +;SectionEnd +; + +;-------------------------------- + +; Uninstaller + +Section "Uninstall" + + ReadRegDWORD $0 HKLM "Software\Ghdl" "PathSet" + StrCmp $0 "1" "" path_not_set + Push $INSTDIR\Bin + Call un.RemoveFromPath + +path_not_set: + + ; Remove registry keys + DeleteRegKey HKLM "Software\Microsoft\Windows\CurrentVersion\Uninstall\Ghdl" + DeleteRegKey HKLM Software\Ghdl + + ; Remove files and uninstaller + Delete $INSTDIR\bin\ghdl.exe + Delete $INSTDIR\bin\ghdl.htm + Delete $INSTDIR\uninst-ghdl.exe + Delete $INSTDIR\COPYING.txt + RMDir $INSTDIR\bin + RMDir /r $INSTDIR\lib + + + ; Remove shortcuts, if any + ; Delete "$SMPROGRAMS\Ghdl\*.*" + + ; Remove directories used + ; RMDir "$SMPROGRAMS\Ghdl" + RMDir "$INSTDIR" + +SectionEnd + +;;;;;;;; Misc functions + +; Author: Lilla (lilla@earthlink.net) 2003-06-13 +; function IsUserAdmin uses plugin \NSIS\PlusgIns\UserInfo.dll +; This function is based upon code in \NSIS\Contrib\UserInfo\UserInfo.nsi +; This function was tested under NSIS 2 beta 4 (latest CVS as of this writing). +; +; Usage: +; Call IsUserAdmin +; Pop $R0 ; at this point $R0 is "true" or "false" +; +Function IsUserAdmin +Push $R0 +Push $R1 +Push $R2 + +ClearErrors +UserInfo::GetName +IfErrors Win9x +Pop $R1 +UserInfo::GetAccountType +Pop $R2 + +StrCmp $R2 "Admin" 0 Continue +; Observation: I get here when running Win98SE. (Lilla) +; The functions UserInfo.dll looks for are there on Win98 too, +; but just don't work. So UserInfo.dll, knowing that admin isn't required +; on Win98, returns admin anyway. (per kichik) +; MessageBox MB_OK 'User "$R1" is in the Administrators group' +StrCpy $R0 "true" +Goto Done + +Continue: +; You should still check for an empty string because the functions +; UserInfo.dll looks for may not be present on Windows 95. (per kichik) +StrCmp $R2 "" Win9x +StrCpy $R0 "false" +;MessageBox MB_OK 'User "$R1" is in the "$R2" group' +Goto Done + +Win9x: +; comment/message below is by UserInfo.nsi author: +; This one means you don't need to care about admin or +; not admin because Windows 9x doesn't either +;MessageBox MB_OK "Error! This DLL can't run under Windows 9x!" +StrCpy $R0 "true" + +Done: +;MessageBox MB_OK 'User= "$R1" AccountType= "$R2" IsUserAdmin= "$R0"' + +Pop $R2 +Pop $R1 +Exch $R0 +FunctionEnd + + +!define ALL_USERS + +!ifndef WriteEnvStr_RegKey + !ifdef ALL_USERS + !define WriteEnvStr_RegKey \ + 'HKLM "SYSTEM\CurrentControlSet\Control\Session Manager\Environment"' + !else + !define WriteEnvStr_RegKey 'HKCU "Environment"' + !endif +!endif + +!verbose 3 +!include "WinMessages.NSH" +!verbose 4 + +; AddToPath - Adds the given dir to the search path. +; Input - head of the stack +; Note - Win9x systems requires reboot + +Function AddToPath + Exch $0 + Push $1 + Push $2 + Push $3 + + # don't add if the path doesn't exist + IfFileExists "$0\*.*" "" AddToPath_done + + ReadEnvStr $1 PATH + Push "$1;" + Push "$0;" + Call StrStr + Pop $2 + StrCmp $2 "" "" AddToPath_done + Push "$1;" + Push "$0\;" + Call StrStr + Pop $2 + StrCmp $2 "" "" AddToPath_done + GetFullPathName /SHORT $3 $0 + Push "$1;" + Push "$3;" + Call StrStr + Pop $2 + StrCmp $2 "" "" AddToPath_done + Push "$1;" + Push "$3\;" + Call StrStr + Pop $2 + StrCmp $2 "" "" AddToPath_done + + ReadRegStr $1 ${WriteEnvStr_RegKey} "PATH" + StrCpy $2 $1 1 -1 # copy last char + StrCmp $2 ";" 0 +2 # if last char == ; + StrCpy $1 $1 -1 # remove last char + StrCmp $1 "" AddToPath_NTdoIt + StrCpy $0 "$1;$0" + AddToPath_NTdoIt: + WriteRegExpandStr ${WriteEnvStr_RegKey} "PATH" $0 + SendMessage ${HWND_BROADCAST} ${WM_WININICHANGE} 0 "STR:Environment" /TIMEOUT=5000 + + AddToPath_done: + Pop $3 + Pop $2 + Pop $1 + Pop $0 +FunctionEnd + +; RemoveFromPath - Remove a given dir from the path +; Input: head of the stack + +Function un.RemoveFromPath + Exch $0 + Push $1 + Push $2 + Push $3 + Push $4 + Push $5 + Push $6 + + IntFmt $6 "%c" 26 # DOS EOF + + ReadRegStr $1 ${WriteEnvStr_RegKey} "PATH" + StrCpy $5 $1 1 -1 # copy last char + StrCmp $5 ";" +2 # if last char != ; + StrCpy $1 "$1;" # append ; + Push $1 + Push "$0;" + Call un.StrStr ; Find `$0;` in $1 + Pop $2 ; pos of our dir + StrCmp $2 "" unRemoveFromPath_done + ; else, it is in path + # $0 - path to add + # $1 - path var + StrLen $3 "$0;" + StrLen $4 $2 + StrCpy $5 $1 -$4 # $5 is now the part before the path to remove + StrCpy $6 $2 "" $3 # $6 is now the part after the path to remove + StrCpy $3 $5$6 + + StrCpy $5 $3 1 -1 # copy last char + StrCmp $5 ";" 0 +2 # if last char == ; + StrCpy $3 $3 -1 # remove last char + + WriteRegExpandStr ${WriteEnvStr_RegKey} "PATH" $3 + SendMessage ${HWND_BROADCAST} ${WM_WININICHANGE} 0 "STR:Environment" /TIMEOUT=5000 + + unRemoveFromPath_done: + Pop $6 + Pop $5 + Pop $4 + Pop $3 + Pop $2 + Pop $1 + Pop $0 +FunctionEnd + +########################################### +# Utility Functions # +########################################### + +; IsNT +; no input +; output, top of the stack = 1 if NT or 0 if not +; +; Usage: +; Call IsNT +; Pop $R0 +; ($R0 at this point is 1 or 0) + +!macro IsNT un +Function ${un}IsNT + Push $0 + ReadRegStr $0 HKLM "SOFTWARE\Microsoft\Windows NT\CurrentVersion" CurrentVersion + StrCmp $0 "" 0 IsNT_yes + ; we are not NT. + Pop $0 + Push 0 + Return + + IsNT_yes: + ; NT!!! + Pop $0 + Push 1 +FunctionEnd +!macroend +!insertmacro IsNT "" +;!insertmacro IsNT "un." + +; StrStr +; input, top of stack = string to search for +; top of stack-1 = string to search in +; output, top of stack (replaces with the portion of the string remaining) +; modifies no other variables. +; +; Usage: +; Push "this is a long ass string" +; Push "ass" +; Call StrStr +; Pop $R0 +; ($R0 at this point is "ass string") + +!macro StrStr un +Function ${un}StrStr +Exch $R1 ; st=haystack,old$R1, $R1=needle + Exch ; st=old$R1,haystack + Exch $R2 ; st=old$R1,old$R2, $R2=haystack + Push $R3 + Push $R4 + Push $R5 + StrLen $R3 $R1 + StrCpy $R4 0 + ; $R1=needle + ; $R2=haystack + ; $R3=len(needle) + ; $R4=cnt + ; $R5=tmp + loop: + StrCpy $R5 $R2 $R3 $R4 + StrCmp $R5 $R1 done + StrCmp $R5 "" done + IntOp $R4 $R4 + 1 + Goto loop +done: + StrCpy $R1 $R2 "" $R4 + Pop $R5 + Pop $R4 + Pop $R3 + Pop $R2 + Exch $R1 +FunctionEnd +!macroend +!insertmacro StrStr "" +!insertmacro StrStr "un." + diff --git a/scripts/windows/mcode/ghdlfilter.adb b/scripts/windows/mcode/ghdlfilter.adb new file mode 100644 index 000000000..d75526dbd --- /dev/null +++ b/scripts/windows/mcode/ghdlfilter.adb @@ -0,0 +1,132 @@ +-- +-- Preprocessor to handle library source metacomments +-- +-- Limitations: +-- - line metacomments must occur at end of line with no trailing space before the line break +-- - block metacomments must start in column 1 +-- +-- Supported line metacomments: +-- +-- --!V87 +-- --V87 +-- --V93 +-- --V08 +-- +-- Supported block metacomments: +-- +-- --START-!V87 +-- --END-!V87 +-- +-- --START-V93 +-- --END-V93 +-- +-- --START-V08 +-- --END-V08 +-- +-- +with Ada.Command_Line; use Ada.Command_Line; +with Ada.Text_IO; use Ada.Text_IO; + +procedure Ghdlfilter is + type Mode_Kind is (Mode_93, Mode_87, Mode_08); + Mode : Mode_Kind; + + Line : String (1 .. 128); + Len : Natural; + + Comment : Boolean; + Block_Comment : Boolean; +begin + if Argument_Count /= 1 then + Put_Line (Standard_Error, "usage: " & Command_Name & " -v93|-v87|-v08"); + return; + end if; + + if Argument (1) = "-v93" then + Mode := Mode_93; + elsif Argument (1) = "-v87" then + Mode := Mode_87; + elsif Argument (1) = "-v08" then + Mode := Mode_08; + else + Put_Line (Standard_Error, "bad mode"); + return; + end if; + + Block_Comment := False; + + loop + exit when End_Of_File; + Get_Line (Line, Len); + + Comment := Block_Comment; + + -- + -- look for line metacomments + -- + if Len > 6 then + + if Mode = Mode_87 and ( Line (Len - 5 .. Len) = "--!V87" ) then + Comment := True; + end if; + + end if; + + + if Len > 5 then + + if Mode = Mode_87 and ( (Line (Len - 4 .. Len) = "--V93") or (Line (Len - 4 .. Len) = "--V08") ) then + Comment := True; + + elsif Mode = Mode_93 and ( (Line (Len - 4 .. Len) = "--V87") or (Line (Len - 4 .. Len) = "--V08") ) then + Comment := True; + + elsif Mode = Mode_08 and ( (Line (Len - 4 .. Len) = "--V87") or (Line (Len - 4 .. Len) = "--V93") ) then + Comment := True; + end if; + + end if; + + -- + -- look for block metacomment start + -- + if Len = 12 + and then Mode /= Mode_93 + and then Line (1 .. 12) = "--START-!V87" then + Block_Comment := True; + end if; + + if Len = 11 + and then Mode /= Mode_93 + and then Line (1 .. 11) = "--START-V93" then + Block_Comment := True; + end if; + + if Len = 11 + and then Mode /= Mode_08 + and then Line (1 .. 11) = "--START-V08" then + Block_Comment := True; + end if; + + -- + -- look for block metacomment end + -- + if Len = 9 and then ( (Line (1 .. 9) = "--END-V93") or (Line (1 .. 9) = "--END-V08") ) then + Block_Comment := False; + end if; + + if Len = 10 and then ( Line (1 .. 10) = "--END-!V87" ) then + Block_Comment := False; + end if; + + -- + -- comment output lines as needed + -- + if Comment then + Put ("-- "); + end if; + + Put_Line (Line (1 .. Len)); + + end loop; +end Ghdlfilter; diff --git a/scripts/windows/mcode/ghdlversion.adb b/scripts/windows/mcode/ghdlversion.adb new file mode 100644 index 000000000..d2f1c28be --- /dev/null +++ b/scripts/windows/mcode/ghdlversion.adb @@ -0,0 +1,30 @@ +with Ada.Text_IO; use Ada.Text_IO; +with Ada.Strings.Fixed; use Ada.Strings.Fixed; + +procedure Ghdlversion is + Line : String (1 .. 128); + Len : Natural; + Pos : Natural; + E : Natural; +begin + loop + exit when End_Of_File; + Get_Line (Line, Len); + + -- Search GHDL + Pos := Index (Line (1 .. Len), "GHDL "); + if Pos /= 0 then + Pos := Pos + 5; + E := Pos; + while Line (E) in '0' .. '9' + or Line (E) in 'a' .. 'z' + or Line (E) = '.' + loop + exit when E = Len; + E := E + 1; + end loop; + Put_Line ("!define VERSION """ & Line (Pos .. E - 1) & """"); + return; + end if; + end loop; +end Ghdlversion; diff --git a/scripts/windows/mcode/grt-backtraces-impl.ads b/scripts/windows/mcode/grt-backtraces-impl.ads new file mode 100644 index 000000000..81db677ed --- /dev/null +++ b/scripts/windows/mcode/grt-backtraces-impl.ads @@ -0,0 +1,3 @@ +with Grt.Backtraces.Jit; + +package Grt.Backtraces.Impl renames Grt.Backtraces.Jit; diff --git a/scripts/windows/mcode/grt-modules.adb b/scripts/windows/mcode/grt-modules.adb new file mode 100644 index 000000000..de5eb79b8 --- /dev/null +++ b/scripts/windows/mcode/grt-modules.adb @@ -0,0 +1,39 @@ +-- GHDL Run Time (GRT) - Modules. +-- Copyright (C) 2005 Tristan Gingold +-- +-- GHDL is free software; you can redistribute it and/or modify it under +-- the terms of the GNU General Public License as published by the Free +-- Software Foundation; either version 2, or (at your option) any later +-- version. +-- +-- GHDL is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or +-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +-- for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with GCC; see the file COPYING. If not, write to the Free +-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA +-- 02111-1307, USA. +with System.Storage_Elements; -- Work around GNAT bug. +with Grt.Vcd; +with Grt.Vpi; +with Grt.Waves; +with Grt.Vital_Annotate; +with Grt.Disp_Tree; +with Grt.Disp_Rti; +with Grt.Backtraces; + +package body Grt.Modules is + procedure Register_Modules is + begin + -- List of modules to be registered. + Grt.Disp_Tree.Register; + Grt.Vcd.Register; + Grt.Waves.Register; + Grt.Vpi.Register; + Grt.Vital_Annotate.Register; + Grt.Disp_Rti.Register; + Grt.Backtraces.Register; + end Register_Modules; +end Grt.Modules; diff --git a/scripts/windows/mcode/ortho_code-x86-flags.ads b/scripts/windows/mcode/ortho_code-x86-flags.ads new file mode 100644 index 000000000..8915f3122 --- /dev/null +++ b/scripts/windows/mcode/ortho_code-x86-flags.ads @@ -0,0 +1,2 @@ +with Ortho_Code.X86.Flags_Windows; +package Ortho_Code.X86.Flags renames Ortho_Code.X86.Flags_Windows; diff --git a/scripts/windows/mcode/winbuild.bat b/scripts/windows/mcode/winbuild.bat new file mode 100644 index 000000000..670b77d1e --- /dev/null +++ b/scripts/windows/mcode/winbuild.bat @@ -0,0 +1,20 @@ +call windows\compile +if errorlevel 1 goto end + +call windows\complib +if errorlevel 1 goto end + +strip build\ghdl.exe + +gnatmake windows/ghdlversion -o windows/ghdlversion.exe +windows\ghdlversion < ..\..\src\version.ads > windows\version.nsi + +"c:\Program Files\NSIS\makensis" windows\ghdl.nsi +if errorlevel 1 goto end + +exit /b 0 + +:end +echo "Error during compilation" +exit /b 1 + diff --git a/scripts/windows/mcode/windows_default_path.adb b/scripts/windows/mcode/windows_default_path.adb new file mode 100644 index 000000000..c627356f9 --- /dev/null +++ b/scripts/windows/mcode/windows_default_path.adb @@ -0,0 +1,68 @@ +-- Find installation path (using executable). +-- Copyright (C) 2002 - 2016 Tristan Gingold +-- +-- GHDL is free software; you can redistribute it and/or modify it under +-- the terms of the GNU General Public License as published by the Free +-- Software Foundation; either version 2, or (at your option) any later +-- version. +-- +-- GHDL is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or +-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +-- for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with GCC; see the file COPYING. If not, write to the Free +-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA +-- 02111-1307, USA. +-- +-- As a special exception, if other files instantiate generics from this +-- unit, or you link this unit with other files to produce an executable, +-- this unit does not by itself cause the resulting executable to be +-- covered by the GNU General Public License. This exception does not +-- however invalidate any other reasons why the executable file might be +-- covered by the GNU Public License. + +with Interfaces.C; use Interfaces.C; +with System; use System; + +package body Windows_Default_Path is + + subtype DWORD is Interfaces.C.Unsigned_Long; + subtype HINSTANCE is Address; + function GetModuleFileName (Inst : HINSTANCE; Buf : Address; Size : DWORD) + return DWORD; + pragma Import (Stdcall, GetModuleFileName, "GetModuleFileNameA"); + + function Get_Windows_Exec_Path return String + is + File : String (1 .. 256); + Size : DWORD; + P : Natural; + begin + -- Get exe file path. + Size := GetModuleFileName (Null_Address, File'Address, File'Length); + if Size = 0 or Size = File'Length then + return "{cannot find install path}\lib"; + end if; + + -- Remove Program file. + P := Natural (Size); + while P > 0 loop + exit when File (P) = '\'; + exit when File (P) = ':' and P = 2; + P := P - 1; + end loop; + if File (P) = '\' and P > 1 then + -- Remove directory + P := P - 1; + while P > 0 loop + exit when File (P) = '\'; + exit when File (P) = ':' and P = 2; + P := P - 1; + end loop; + end if; + + return File (1 .. P); + end Get_Windows_Exec_Path; +end Windows_Default_Path; diff --git a/scripts/windows/mcode/windows_default_path.ads b/scripts/windows/mcode/windows_default_path.ads new file mode 100644 index 000000000..9da3be559 --- /dev/null +++ b/scripts/windows/mcode/windows_default_path.ads @@ -0,0 +1,30 @@ +-- Find installation path (using executable). +-- Copyright (C) 2002 - 2016 Tristan Gingold +-- +-- GHDL is free software; you can redistribute it and/or modify it under +-- the terms of the GNU General Public License as published by the Free +-- Software Foundation; either version 2, or (at your option) any later +-- version. +-- +-- GHDL is distributed in the hope that it will be useful, but WITHOUT ANY +-- WARRANTY; without even the implied warranty of MERCHANTABILITY or +-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +-- for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with GCC; see the file COPYING. If not, write to the Free +-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA +-- 02111-1307, USA. +-- +-- As a special exception, if other files instantiate generics from this +-- unit, or you link this unit with other files to produce an executable, +-- this unit does not by itself cause the resulting executable to be +-- covered by the GNU General Public License. This exception does not +-- however invalidate any other reasons why the executable file might be +-- covered by the GNU Public License. + +package Windows_Default_Path is + -- Get the default path from executable name. + -- This function is called during elaboration! + function Get_Windows_Exec_Path return String; +end Windows_Default_Path; diff --git a/scripts/windows/shared.psm1 b/scripts/windows/shared.psm1 new file mode 100644 index 000000000..33136941d --- /dev/null +++ b/scripts/windows/shared.psm1 @@ -0,0 +1,368 @@ +# EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- +# vim: tabstop=2:shiftwidth=2:noexpandtab +# kate: tab-width 2; replace-tabs off; indent-width 2; +# +# ============================================================================== +# Authors: Patrick Lehmann +# +# PowerShell Module: The module provides common CmdLets for ... +# +# Description: +# ------------------------------------ +# This PowerShell module provides CommandLets (CmdLets) to ... +# +# ============================================================================== +# Copyright (C) 2016-2017 Patrick Lehmann +# +# GHDL is free software; you can redistribute it and/or modify it under +# the terms of the GNU General Public License as published by the Free +# Software Foundation; either version 2, or (at your option) any later +# version. +# +# GHDL is distributed in the hope that it will be useful, but WITHOUT ANY +# WARRANTY; without even the implied warranty of MERCHANTABILITY or +# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +# for more details. +# +# You should have received a copy of the GNU General Public License +# along with GHDL; see the file COPYING. If not, write to the Free +# Software Foundation, 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# ============================================================================== + +[CmdletBinding()] +param( + [Parameter(Mandatory=$true)][string]$WorkingDir, + [Parameter(Mandatory=$true)][Switch]$Hosted +) + +$Module_WorkingDir = $WorkingDir +$Module_Hosted = $Hosted + +function Exit-CompileScript +{ <# + .SYNOPSIS + Undocumented + .DESCRIPTION + Undocumented + .PARAMETER ExitCode + ExitCode of this script run + #> + [CmdletBinding()] + param( + [int]$ExitCode = 0 + ) + cd $Module_WorkingDir + # unload modules + if (-not $Module_Hosted) + { Remove-Module shared -Verbose:$false -Debug:$false + Remove-Module targets -Verbose:$false -Debug:$false + } + exit $ExitCode +} + +function New-LibraryDirectory +{ <# + .SYNOPSIS + Undocumented + + .DESCRIPTION + Undocumented + + .PARAMETER Directory + Undocumented + #> + [CmdletBinding()] + param( + [Parameter(Mandatory=$true)][string]$Directory #, + # [Parameter(Mandatory=$true)][bool]$EnableVerbose + ) + $EnableVerbose = $false + if (Test-Path -Path $Directory) + { $EnableVerbose -and (Write-Host " Directory '$Directory' already exists." ) | Out-Null } + else + { Write-Host " Creating directory '$Directory'." + New-Item -ItemType directory -Path $Directory -ErrorAction SilentlyContinue | Out-Null + } +} + +function Format-VHDLSourceFile +{ <# + .SYNOPSIS + Undocumented + + .DESCRIPTION + Undocumented + + .PARAMETER Version + Undocumented + .PARAMETER InputObject + A object stream is required as an input. + #> + [CmdletBinding()] + param( + [Parameter(Mandatory=$true)][string]$Version, + [Parameter(ValueFromPipeline=$true)]$InputObject + ) + + begin + { $State = 1 + $Version = switch ($Version) + { "87" { 87 } + "93" { 93 } + "02" { 2 } + "08" { 8 } + } + } + + process + { if ($InputObject -is [String]) + { $Line = $InputObject.ToString() + if ($Line.StartsWith("--START-V")) + { $State = switch ($Line.Substring(9, 2)) + { "87" { 87 } + "93" { 93 } + "02" { 2 } + "08" { 8 } + } + } + elseif ($Line.StartsWith("--START-!V")) + { if ($Line.Substring(10, 2) -eq $Version) + { $State = 2 } + } + elseif ($Line.StartsWith("--END-V") -or $Line.StartsWith("--END-!V")) + { $State = 1 } + else + { if ($State -eq 1) + { if ($Line.EndsWith("--V$Version")) + { Write-Output $Line } + elseif (-not (($Line -like "*--V??") -or ($Line.EndsWith("--!V$Version")))) + { Write-Output $Line } + } + elseif ($State -eq $Version) + { Write-Output $Line } + } + } + else + { Write-Host "Unknown pipeline object type." -ForegroundColor Red } + } + + end + { + } +} + +function Restore-NativeCommandStream +{ <# + .SYNOPSIS + This CmdLet gathers multiple ErrorRecord objects and reconstructs outputs + as a single line. + + .DESCRIPTION + This CmdLet collects multiple ErrorRecord objects and emits one String + object per line. + .PARAMETER InputObject + A object stream is required as an input. + .PARAMETER Indent + Indentation string. + #> + [CmdletBinding()] + param( + [Parameter(ValueFromPipeline=$true)] + $InputObject + ) + + begin + { $LineRemainer = "" } + + process + { if ($InputObject -is [System.Management.Automation.ErrorRecord]) + { if ($InputObject.FullyQualifiedErrorId -eq "NativeCommandError") + { Write-Output $InputObject.ToString() } + elseif ($InputObject.FullyQualifiedErrorId -eq "NativeCommandErrorMessage") + { $NewLine = $LineRemainer + $InputObject.ToString() + while (($NewLinePos = $NewLine.IndexOf("`n")) -ne -1) + { Write-Output $NewLine.Substring(0, $NewLinePos) + $NewLine = $NewLine.Substring($NewLinePos + 1) + } + $LineRemainer = $NewLine + } + } + elseif ($InputObject -is [String]) + { Write-Output $InputObject } + else + { Write-Host "Unsupported object in pipeline stream" } + } + + end + { if ($LineRemainer -ne "") + { Write-Output $LineRemainer } + } +} + +function Write-ColoredGCCLine +{ <# + .SYNOPSIS + This CmdLet colors GHDL output lines. + + .DESCRIPTION + This CmdLet colors GHDL output lines. Warnings are prefixed with 'WARNING: ' + in yellow and errors are prefixed with 'ERROR: ' in red. + + .PARAMETER InputObject + A object stream is required as an input. + .PARAMETER SuppressWarnings + Skip warning messages. (Show errors only.) + .PARAMETER Indent + Indentation string. + #> + [CmdletBinding()] + param( + [Parameter(ValueFromPipeline=$true)] + $InputObject, + + [Parameter(Position=1)] + [switch]$SuppressWarnings = $false, + [Parameter(Position=2)] + [string]$Indent = "" + ) + + begin + { $ErrorRecordFound = $false } + + process + { if ($InputObject -is [String]) + { if ($InputObject -match ":\d+:\d+:\swarning:\s") + { if (-not $SuppressWarnings) + { Write-Host "${Indent}WARNING: " -NoNewline -ForegroundColor Yellow + Write-Host $InputObject + } + } + elseif ($InputObject -match ":\d+:\d+:\s") + { $ErrorRecordFound = $true + Write-Host "${Indent}ERROR: " -NoNewline -ForegroundColor Red + Write-Host $InputObject + } + else + { Write-Host "${Indent}$InputObject" } + } + else + { Write-Host "Unsupported object in pipeline stream" } + } + + end + { $ErrorRecordFound } +} + +function Write-ColoredGHDLLine +{ <# + .SYNOPSIS + This CmdLet colors GHDL output lines. + + .DESCRIPTION + This CmdLet colors GHDL output lines. Warnings are prefixed with 'WARNING: ' + in yellow and errors are prefixed with 'ERROR: ' in red. + + .PARAMETER InputObject + A object stream is required as an input. + + .PARAMETER SuppressWarnings + Skip warning messages. (Show errors only.) + #> + [CmdletBinding()] + param( + [Parameter(ValueFromPipeline=$true)] + $InputObject, + + [Parameter(Position=1)] + [switch]$SuppressWarnings = $false, + [Parameter(Position=2)] + [string]$Indent = "" + ) + + begin + { $ErrorRecordFound = $false } + + process + { if ($InputObject -is [String]) + { if ($InputObject -match ":\d+:\d+:warning:\s") + { if (-not $SuppressWarnings) + { Write-Host "${Indent}WARNING: " -NoNewline -ForegroundColor Yellow + Write-Host $InputObject + } + } + elseif ($InputObject -match ":\d+:\d+:\s") + { $ErrorRecordFound = $true + Write-Host "${Indent}ERROR: " -NoNewline -ForegroundColor Red + Write-Host $InputObject + } + else + { Write-Host "${Indent}$InputObject" } + } + else + { Write-Host "Unsupported object in pipeline stream" } + } + + end + { $ErrorRecordFound } +} + +function Write-HostExtended +{ <# + .SYNOPSIS + This CmdLet colors GHDL output lines. + + .DESCRIPTION + This CmdLet colors GHDL output lines. Warnings are prefixed with 'WARNING: ' + in yellow and errors are prefixed with 'ERROR: ' in red. + + .PARAMETER InputObject + A object stream is required as an input. + .PARAMETER Indent + Indentation string. + #> + [CmdletBinding()] + param( + [Parameter(ValueFromPipeline=$true)] + $InputObject, + + [Parameter(Position=1)] + [string]$Indent = "" + ) + + begin + { } + + process + { if ($InputObject -is [String]) + { Write-Host "${Indent}$InputObject" } + else + { Write-Host "Unsupported object in pipeline stream" } + } + + end + { } +} + +function Test-GitRepository +{ <# + .SYNOPSIS + Returns true, if the current working directy is under git control. + #> + + git rev-parse 2>&1 | Out-Null + return $LastExitCode -eq 0 +} + +# export functions +Export-ModuleMember -Function 'Exit-CompileScript' + +Export-ModuleMember -Function 'New-LibraryDirectory' +Export-ModuleMember -Function 'Format-VHDLSourceFile' + +Export-ModuleMember -Function 'Restore-NativeCommandStream' +Export-ModuleMember -Function 'Write-ColoredGCCLine' +Export-ModuleMember -Function 'Write-ColoredGHDLLine' +Export-ModuleMember -Function 'Write-HostExtended' + +Export-ModuleMember -Function 'Test-GitRepository' diff --git a/scripts/windows/targets.psm1 b/scripts/windows/targets.psm1 new file mode 100644 index 000000000..1264db247 --- /dev/null +++ b/scripts/windows/targets.psm1 @@ -0,0 +1,416 @@ +# EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- +# vim: tabstop=2:shiftwidth=2:noexpandtab +# kate: tab-width 2; replace-tabs off; indent-width 2; +# +# ============================================================================== +# Authors: Patrick Lehmann +# +# PowerShell Module: The module provides build targets for GHDL. +# +# Description: +# ------------------------------------ +# This PowerShell module provides build targets for GHDL. +# +# ============================================================================== +# Copyright (C) 2016-2017 Patrick Lehmann +# +# GHDL is free software; you can redistribute it and/or modify it under +# the terms of the GNU General Public License as published by the Free +# Software Foundation; either version 2, or (at your option) any later +# version. +# +# GHDL is distributed in the hope that it will be useful, but WITHOUT ANY +# WARRANTY; without even the implied warranty of MERCHANTABILITY or +# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +# for more details. +# +# You should have received a copy of the GNU General Public License +# along with GHDL; see the file COPYING. If not, write to the Free +# Software Foundation, 59 Temple Place - Suite 330, Boston, MA +# 02111-1307, USA. +# ============================================================================== + +# TODO: +# check if: +# - program are installed / auto find programs / auto find paths +# - program version + +# configure compiler tools +$Prog_GCC = "gcc.exe" +$Prog_GNATMake = "gnatmake.exe" +$Prog_Strip = "strip.exe" + +# configure output file +$GHDL_Mcode_Name = "ghdl.exe" + +# configure directory structure +$CommonSourceDirName = "src" +$WinMcodeSourceDirName = "dist\windows\mcode" +# $WinLLVMSourceDirName = "dist\windows\llvm" + +# construct file paths +$VersionFileName_In = "version.in" +$VersionFileName_Ads = "version.ads" + + +function Invoke-Clean +{ <# + .SYNOPSIS + This CommandLet removes all generated files. + .PARAMETER BuildDirectory + The directory where all generated files are stored. + .PARAMETER Quiet + Disable outputs to the host console. + #> + [CmdletBinding()] + param( + [string] $BuildDirectory, + [switch] $Quiet = $false + ) + + $EnableDebug = -not $Quiet -and ( $PSCmdlet.MyInvocation.BoundParameters["Debug"]) + $EnableVerbose = -not $Quiet -and ($EnableDebug -or $PSCmdlet.MyInvocation.BoundParameters["Verbose"]) + + -not $Quiet -and (Write-Host "Executing build target 'Clean' ..." -ForegroundColor Yellow) | Out-Null + $EnableVerbose -and (Write-Host " Removing all created files and directories..." ) | Out-Null + if (Test-Path -Path $BuildDirectory) + { $EnableDebug -and (Write-Host " rmdir $BuildDirectory" ) | Out-Null + Remove-Item $BuildDirectory -Force -Recurse -ErrorAction SilentlyContinue + if ($? -eq $false) + { Write-Host "[ERROR]: Cannot remove '$BuildDirectory'." -ForegroundColor Red + return $true + } + } + return $false +} # Invoke-Clean + +function New-BuildDirectory +{ <# + .SYNOPSIS + This CommandLet creates a build directory if not existent, yet. + .PARAMETER BuildDirectory + The directory where all generated files are stored. + .PARAMETER Quiet + Disable outputs to the host console. + #> + [CmdletBinding()] + param( + [string] $BuildDirectory, + [switch] $Quiet = $false + ) + + Write-Host "Executing build target 'BuildDirectory' ..." -ForegroundColor Yellow + if (Test-Path -Path $BuildDirectory -PathType Container) + { -not $Quiet -and (Write-Host " Directory '$BuildDirectory' already exists." ) | Out-Null } + else + { -not $Quiet -and (Write-Host " Creating new directory '$BuildDirectory'." ) | Out-Null + New-Item -ItemType Directory -Path $BuildDirectory -ErrorAction SilentlyContinue | Out-Null + if ($? -eq $false) + { Write-Host "[ERROR]: Cannot create '$BuildDirectory'." -ForegroundColor Red + return $true + } + } + + return $false +} # New-BuildDirectory + +function Get-GHDLVersion +{ <# + .SYNOPSIS + Returns the GHDL version string. + .PARAMETER GHDLRootDir + The repository root directory. + #> + [CmdletBinding()] + param( + [string] $GHDLRootDir + ) + # construct DirectoryPaths + $ConfigureFilePath = $GHDLRootDir + "\configure" + + if (-not (Test-Path -Path $ConfigureFilePath -PathType Leaf)) + { Write-Host "[ERROR]: Version file '$ConfigureFilePath' does not exists." -ForegroundColor Red + return $true + } + $FileContent = Get-Content -Path $ConfigureFilePath + foreach ($Line in $FileContent) + { if ($Line -match 'ghdl_version=\"(.+?)\"') + { return $Matches[2] } + } + Write-Host "[ERROR]: RegExp didn't match in '$ConfigureFilePath'." -ForegroundColor Red + return $true +} # Get-GHDLVersion + +function Invoke-PatchVersionFile +{ <# + .SYNOPSIS + This CommandLet patches the version file to include the git patch state. + .PARAMETER GHDLRootDir + The repository root directory. + .PARAMETER GitBranchName + The branch's name, where HEAD is located. + .PARAMETER GitCommitDataString + The DateTime when HEAD was commited. + .PARAMETER GitCommitHash + The Hash of HEAD. + .PARAMETER Quiet + Disable outputs to the host console. + #> + [CmdletBinding()] + param( + [string] $GHDLRootDir, + [string] $GitBranchName = "unknown", + [string] $GitCommitDataString = "unknown", + [string] $GitCommitHash = "........", + [switch] $Quiet = $false + ) + # construct DirectoryPaths + $SourceDirectory = $GHDLRootDir + "\" + $CommonSourceDirName + $VersionInputFilePath = $SourceDirectory + "\" + $VersionFileName_In + $VersionFilePath = $SourceDirectory + "\" + $VersionFileName_Ads + + Write-Host "Executing build target 'PatchVersionFile' ..." -ForegroundColor Yellow + + if (-not (Test-Path -Path $VersionInputFilePath -PathType Leaf)) + { Write-Host "[ERROR]: Version file '$VersionInputFilePath' does not exists." -ForegroundColor Red + return $true + } + -not $Quiet -and (Write-Host " Patching '$VersionInputFilePath'.") | Out-Null + $FileContent = Get-Content -Path $VersionInputFilePath -Encoding Ascii + if ($? -eq $false) + { Write-Host "[ERROR]: While opening '$VersionInputFilePath'." -ForegroundColor Red + return $true + } + $GHDLVersion = Get-GHDLVersion $GHDLRootDir + $FileContent = $FileContent -Replace "\s@VER@\s", $GHDLVersion + + $FileContent = $FileContent -Replace "\s\(tarball\)\s", " (commit: $GitCommitDataString; git branch: $GitBranchName'; hash: $GitCommitHash) " + + + $FileContent | Out-File $VersionFilePath -Encoding Ascii + if ($? -eq $false) + { Write-Host "[ERROR]: While writing to '$VersionFilePath'." -ForegroundColor Red + return $true + } + + return $false +} # Invoke-PatchVersionFile + + +function Get-CFlags +{ <# + .SYNOPSIS + Returns common ANSI C compiler flags for GCC. + #> + return @( + "-O1", # optimize; level 1 + "-g" # enable debug symbols + ) +} + +function Invoke-CompileCFiles +{ <# + .SYNOPSIS + This CommandLet compiles all C files with GCC. + .PARAMETER GHDLRootDir + The repository root directory. + .PARAMETER BuildDirectory + The directory where all generated files are stored. + .PARAMETER Quiet + Disable outputs to the host console + #> + [CmdletBinding()] + param( + [string] $GHDLRootDir, + [string] $BuildDirectory, + [switch] $Quiet = $false + ) + # construct DirectoryPaths + $SourceDirectory = $GHDLRootDir + "\" + $CommonSourceDirName + + Set-Location $BuildDirectory + Write-Host "Executing build target 'CompileCFiles' ..." -ForegroundColor Yellow + + # list all files to be compiled; add additional CFlags if needed + $SourceFiles = @() + $SourceFiles += New-Object PSObject -Property @{File="grt\grt-cstdio.c"; CFlags=@()} + $SourceFiles += New-Object PSObject -Property @{File="grt\grt-cvpi.c"; CFlags=@()} + $SourceFiles += New-Object PSObject -Property @{File="grt\grt-cdynload.c"; CFlags=@()} + $SourceFiles += New-Object PSObject -Property @{File="grt\config\clock.c"; CFlags=@()} + $SourceFiles += New-Object PSObject -Property @{File="grt\config\win32.c"; CFlags=@('-DWITH_GNAT_RUN_TIME')} + $SourceFiles += New-Object PSObject -Property @{File="ortho\mcode\memsegs_c.c"; CFlags=@()} + + # compile C files + foreach ($SourceFile in $SourceFiles) + { $Parameters = @() + $Parameters += "-c" # compile only + $Parameters += Get-CFlags # append common CFlags + $Parameters += $SourceFile.CFlags + $Parameters += $SourceDirectory + "\" + $SourceFile.File + + # call C compiler + $InvokeExpr = "$Prog_GCC " + ($Parameters -join " ") + " 2>&1" + + Write-Host (" compiling: " + $SourceFile.File) + Write-Debug " call: $InvokeExpr" + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGCCLine -Indent " " + if ($LastExitCode -ne 0) + { Write-Host ("[ERROR]: While compiling '{0}'." -f $SourceFile.File) -ForegroundColor Red + return $true + } + } + + return $false +} # Invoke-CompileCFiles + + +function Invoke-CompileGHDLAdaFiles +{ <# + .SYNOPSIS + This CommandLet compiles all Ada files with GNAT. + .PARAMETER GHDLRootDir + The repository root directory. + .PARAMETER BuildDirectory + The directory where all generated files are stored. + .PARAMETER Quiet + Disable outputs to the host console + #> + [CmdletBinding()] + param( + [string] $GHDLRootDir, + [string] $BuildDirectory, + [switch] $Quiet = $false + ) + # construct DirectoryPaths + $CommonSourceDirectory = $GHDLRootDir + "\" + $CommonSourceDirName + $WinMcodeSourceDirectory = $GHDLRootDir + "\" + $WinMcodeSourceDirName + + Set-Location $BuildDirectory + Write-Host "Executing build target 'CompileGHDLAdaFiles' ..." -ForegroundColor Yellow + + $Parameters = @() + $Parameters += Get-CFlags # append common CFlags + $Parameters += '-gnatn' + + # append all source paths + $Parameters += '-aI' + $WinMcodeSourceDirectory + $Parameters += '-aI' + $CommonSourceDirectory + $Parameters += '-aI' + $CommonSourceDirectory + '\ghdldrv' + $Parameters += '-aI' + $CommonSourceDirectory + '\psl' + $Parameters += '-aI' + $CommonSourceDirectory + '\grt' + $Parameters += '-aI' + $CommonSourceDirectory + '\ortho' + $Parameters += '-aI' + $CommonSourceDirectory + '\ortho\mcode' + $Parameters += '-aI' + $CommonSourceDirectory + '\vhdl' + $Parameters += '-aI' + $CommonSourceDirectory + '\vhdl\translate' + + # top level + $Parameters += 'ghdl_jit' + + # add output filename + $Parameters += '-o' + $Parameters += $GHDL_Mcode_Name + + # append linker parameters + $Parameters += '-largs' + $Parameters += 'grt-cstdio.o' + $Parameters += 'clock.o' + $Parameters += 'grt-cvpi.o' + $Parameters += 'grt-cdynload.o' + $Parameters += 'memsegs_c.o' + $Parameters += 'win32.o' + $Parameters += '-ldbghelp' + $Parameters += '-largs' + # $Parameters += '-Wl,--stack,8404992' + + # call Ada compiler (GNAT) + $InvokeExpr = "$Prog_GNATMake " + ($Parameters -join " ") + " 2>&1" + + Write-Host " compiling with GNAT" + Write-Debug " call: $InvokeExpr" + $ErrorRecordFound = Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-ColoredGCCLine -Indent " " + if ($LastExitCode -ne 0) + { Write-Host "[ERROR]: While compiling '$GHDL_Mcode_Name'." -ForegroundColor Red + return $true + } + return $false +} # Invoke-CompileGHDLAdaFiles + + +function Invoke-StripGHDLExecutable +{ <# + .SYNOPSIS + This CommandLet strips the result files. + .PARAMETER BuildDirectory + The directory where all generated files are stored. + .PARAMETER Quiet + Disable outputs to the host console + #> + [CmdletBinding()] + param( + [string] $BuildDirectory, + [switch] $Quiet = $false + ) + + Set-Location $BuildDirectory + Write-Host "Executing build target 'StripGHDLExecutable' ..." -ForegroundColor Yellow + + # call striping tool (strip) + Write-Host " stripping '$GHDL_Mcode_Name'" + Write-Debug " call: $Prog_Strip $GHDL_Mcode_Name" + & $Prog_Strip $GHDL_Mcode_Name + if ($LastExitCode -ne 0) + { Write-Host "[ERROR]: While stripping '$GHDL_Mcode_Name'." -ForegroundColor Red + return $true + } + return $false +} # Invoke-StripGHDLExecutable + +function Test-GHDLVersion +{ <# + .SYNOPSIS + This CommandLet executes ghdl to read the version information + .PARAMETER BuildDirectory + The directory where all generated files are stored. + .PARAMETER Quiet + Disable outputs to the host console + #> + [CmdletBinding()] + param( + [string] $BuildDirectory, + [switch] $Quiet = $false + ) + + Set-Location $BuildDirectory + Write-Host "Executing build target 'GHDLVersion' ..." -ForegroundColor Yellow + + if (-not (Test-Path -Path $GHDL_Mcode_Name -PathType Leaf)) + { Write-Host " GHDL executable '$GHDL_Mcode_Name' does not exists." -ForegroundColor Red + return $true + } + + # call ghdl + $InvokeExpr = "$GHDL_Mcode_Name --version 2>&1" + + Write-Host " executing '$GHDL_Mcode_Name'" + Write-Host " call: $InvokeExpr" + Write-Host " ----------------------------------------" + Invoke-Expression $InvokeExpr | Restore-NativeCommandStream | Write-HostExtended " " + Write-Host " ----------------------------------------" + if ($LastExitCode -ne 0) + { Write-Host "[ERROR]: While executing '$GHDL_Mcode_Name'." -ForegroundColor Red + return $true + } + return $false +} # Test-GHDLVersion + + +# export functions +Export-ModuleMember -Function 'Get-GHDLVersion' +Export-ModuleMember -Function 'Invoke-Clean' +Export-ModuleMember -Function 'New-BuildDirectory' +Export-ModuleMember -Function 'Invoke-PatchVersionFile' +Export-ModuleMember -Function 'Restore-PatchedVersionFile' +Export-ModuleMember -Function 'Invoke-CompileCFiles' +Export-ModuleMember -Function 'Invoke-CompileGHDLAdaFiles' +Export-ModuleMember -Function 'Invoke-StripGHDLExecutable' +Export-ModuleMember -Function 'Test-GHDLVersion' -- cgit v1.2.3