From 73256b07e3884622e1c8e3cce4b958e26dd3beb5 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 14 Aug 2022 09:47:43 +0200 Subject: testsuite/synth: add a test for #2176 --- testsuite/synth/issue2176/math_real_test.vhdl | 23 +++++++++++++++++++++++ testsuite/synth/issue2176/testsuite.sh | 7 +++++++ 2 files changed, 30 insertions(+) create mode 100644 testsuite/synth/issue2176/math_real_test.vhdl create mode 100755 testsuite/synth/issue2176/testsuite.sh diff --git a/testsuite/synth/issue2176/math_real_test.vhdl b/testsuite/synth/issue2176/math_real_test.vhdl new file mode 100644 index 000000000..61223d64a --- /dev/null +++ b/testsuite/synth/issue2176/math_real_test.vhdl @@ -0,0 +1,23 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.math_real.all; + +library work; + +entity math_real_test is + port + ( + dout : out std_logic_vector(15 downto 0) + ); +end math_real_test; + +architecture rtl of math_real_test is + + signal threshold : std_logic_vector(15 downto 0) := std_logic_vector(to_unsigned(integer(floor(log10(sqrt(4096.0 * MATH_SQRT_PI)))), 16)); + +begin + + dout <= threshold; + +end; diff --git a/testsuite/synth/issue2176/testsuite.sh b/testsuite/synth/issue2176/testsuite.sh new file mode 100755 index 000000000..1aa58681f --- /dev/null +++ b/testsuite/synth/issue2176/testsuite.sh @@ -0,0 +1,7 @@ +#! /bin/sh + +. ../../testenv.sh + +synth_only math_real_test + +echo "Test successful" -- cgit v1.2.3