From 362f987a4d2277480695dd2432736d7403eed01d Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Thu, 11 Aug 2022 17:31:56 +0200 Subject: testsuite/gna: add a test for #2172 --- testsuite/gna/issue2172/test1.vhdl | 30 ++++++++++++++++++++++++++++++ testsuite/gna/issue2172/testsuite.sh | 10 ++++++++++ 2 files changed, 40 insertions(+) create mode 100644 testsuite/gna/issue2172/test1.vhdl create mode 100755 testsuite/gna/issue2172/testsuite.sh diff --git a/testsuite/gna/issue2172/test1.vhdl b/testsuite/gna/issue2172/test1.vhdl new file mode 100644 index 000000000..f5e4796df --- /dev/null +++ b/testsuite/gna/issue2172/test1.vhdl @@ -0,0 +1,30 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity test is +end entity; + +architecture rtl of test is + signal sig : std_logic_vector(1 downto 0); +begin +end architecture; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity test1 is +end entity; + +architecture rtl of test1 is +begin + i_test : entity work.test; + + p_proc : process + alias sig is <>; + begin + sig(0) <= '1'; + wait; + end process; +end architecture; diff --git a/testsuite/gna/issue2172/testsuite.sh b/testsuite/gna/issue2172/testsuite.sh new file mode 100755 index 000000000..d26e6c9ef --- /dev/null +++ b/testsuite/gna/issue2172/testsuite.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +run "$GHDL" -s $GHDL_STD_FLAGS test1.vhdl + +clean + +echo "Test successful" -- cgit v1.2.3