From 2daa121cd8d40175a82c887b514208ff7f0a50da Mon Sep 17 00:00:00 2001 From: Patrick Lehmann Date: Sat, 14 Aug 2021 21:59:17 +0200 Subject: Extended testcase. --- testsuite/pyunit/Current.vhdl | 10 +++++++++- 1 file changed, 9 insertions(+), 1 deletion(-) diff --git a/testsuite/pyunit/Current.vhdl b/testsuite/pyunit/Current.vhdl index f97d831b9..f755b95a8 100644 --- a/testsuite/pyunit/Current.vhdl +++ b/testsuite/pyunit/Current.vhdl @@ -189,7 +189,15 @@ begin when others => constant G8 : boolean := False; begin - inst: component OthersDummy; + blkOthers: block + constant G9 : boolean := False; + begin + ifOthers: if false generate + constant G10 : boolean := False; + begin + inst: component OthersDummy; + end generate; + end block; end generate; call: OtherDummy; -- cgit v1.2.3