From 262195377f9fa9d63ad884c49c557d6ad004c5bc Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Fri, 6 Mar 2020 20:36:06 +0100 Subject: testsuite/gna: add a test for previous commit. --- testsuite/gna/bug0100/bitstr.vhdl | 16 ++++++++++++++++ testsuite/gna/bug0100/testsuite.sh | 1 + 2 files changed, 17 insertions(+) create mode 100644 testsuite/gna/bug0100/bitstr.vhdl diff --git a/testsuite/gna/bug0100/bitstr.vhdl b/testsuite/gna/bug0100/bitstr.vhdl new file mode 100644 index 000000000..e256db159 --- /dev/null +++ b/testsuite/gna/bug0100/bitstr.vhdl @@ -0,0 +1,16 @@ +entity bitstr is + port (a : bit_vector (7 downto 0); + o : out bit); +end bitstr; + +architecture behav of bitstr is +begin + process (a) + begin + if a = bx"00" then + o <= '1'; + else + o <= '0'; + end if; + end process; +end behav; diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh index b779aa7ea..6d49e90ba 100755 --- a/testsuite/gna/bug0100/testsuite.sh +++ b/testsuite/gna/bug0100/testsuite.sh @@ -12,6 +12,7 @@ analyze_failure --force-analysis noexpr.vhdl analyze_failure --force-analysis str.vhdl analyze_failure --force-analysis procinter.vhdl analyze_failure --force-analysis emptyquote2.vhdl +analyze_failure --force-analysis bitstr.vhdl analyze_failure usrattr.vhdl analyze_failure forloop.vhdl analyze_failure emptyquote.vhdl -- cgit v1.2.3