From 15d517f38815e8c26e2459a8a2f3ffaa45887807 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sun, 16 May 2021 10:12:26 +0200 Subject: vhdl: remove unused Get/Set_Alias_Declaration --- pyGHDL/libghdl/vhdl/nodes.py | 3 - pyGHDL/libghdl/vhdl/nodes_meta.py | 199 +++++++++++++++++++------------------- src/vhdl/vhdl-nodes.adb | 16 --- src/vhdl/vhdl-nodes.ads | 14 --- src/vhdl/vhdl-nodes_meta.adb | 162 +++++++++++++------------------ src/vhdl/vhdl-nodes_meta.ads | 2 - src/vhdl/vhdl-sem_names.adb | 1 - 7 files changed, 166 insertions(+), 231 deletions(-) diff --git a/pyGHDL/libghdl/vhdl/nodes.py b/pyGHDL/libghdl/vhdl/nodes.py index 3d0c43f4b..65d82e3b3 100644 --- a/pyGHDL/libghdl/vhdl/nodes.py +++ b/pyGHDL/libghdl/vhdl/nodes.py @@ -2592,9 +2592,6 @@ Set_Binding_Indication = libghdl.vhdl__nodes__set_binding_indication Get_Named_Entity = libghdl.vhdl__nodes__get_named_entity Set_Named_Entity = libghdl.vhdl__nodes__set_named_entity -Get_Alias_Declaration = libghdl.vhdl__nodes__get_alias_declaration -Set_Alias_Declaration = libghdl.vhdl__nodes__set_alias_declaration - Get_Referenced_Name = libghdl.vhdl__nodes__get_referenced_name Set_Referenced_Name = libghdl.vhdl__nodes__set_referenced_name diff --git a/pyGHDL/libghdl/vhdl/nodes_meta.py b/pyGHDL/libghdl/vhdl/nodes_meta.py index 97f2b31d3..3f441388d 100644 --- a/pyGHDL/libghdl/vhdl/nodes_meta.py +++ b/pyGHDL/libghdl/vhdl/nodes_meta.py @@ -342,105 +342,104 @@ class fields: Default_Entity_Aspect = 269 Binding_Indication = 270 Named_Entity = 271 - Alias_Declaration = 272 - Referenced_Name = 273 - Expr_Staticness = 274 - Scalar_Size = 275 - Error_Origin = 276 - Operand = 277 - Left = 278 - Right = 279 - Unit_Name = 280 - Name = 281 - Group_Template_Name = 282 - Name_Staticness = 283 - Prefix = 284 - Signature_Prefix = 285 - External_Pathname = 286 - Pathname_Suffix = 287 - Pathname_Expression = 288 - In_Formal_Flag = 289 - Slice_Subtype = 290 - Suffix = 291 - Index_Subtype = 292 - Parameter = 293 - Parameter_2 = 294 - Parameter_3 = 295 - Parameter_4 = 296 - Attr_Chain = 297 - Signal_Attribute_Declaration = 298 - Actual_Type = 299 - Actual_Type_Definition = 300 - Association_Chain = 301 - Individual_Association_Chain = 302 - Subprogram_Association_Chain = 303 - Aggregate_Info = 304 - Sub_Aggregate_Info = 305 - Aggr_Dynamic_Flag = 306 - Aggr_Min_Length = 307 - Aggr_Low_Limit = 308 - Aggr_High_Limit = 309 - Aggr_Others_Flag = 310 - Aggr_Named_Flag = 311 - Aggregate_Expand_Flag = 312 - Association_Choices_Chain = 313 - Case_Statement_Alternative_Chain = 314 - Choice_Staticness = 315 - Procedure_Call = 316 - Implementation = 317 - Parameter_Association_Chain = 318 - Method_Object = 319 - Subtype_Type_Mark = 320 - Subnature_Nature_Mark = 321 - Type_Conversion_Subtype = 322 - Type_Mark = 323 - File_Type_Mark = 324 - Return_Type_Mark = 325 - Has_Disconnect_Flag = 326 - Has_Active_Flag = 327 - Is_Within_Flag = 328 - Type_Marks_List = 329 - Implicit_Alias_Flag = 330 - Alias_Signature = 331 - Attribute_Signature = 332 - Overload_List = 333 - Simple_Name_Identifier = 334 - Simple_Name_Subtype = 335 - Protected_Type_Body = 336 - Protected_Type_Declaration = 337 - Use_Flag = 338 - End_Has_Reserved_Id = 339 - End_Has_Identifier = 340 - End_Has_Postponed = 341 - Has_Label = 342 - Has_Begin = 343 - Has_End = 344 - Has_Is = 345 - Has_Pure = 346 - Has_Body = 347 - Has_Parameter = 348 - Has_Component = 349 - Has_Identifier_List = 350 - Has_Mode = 351 - Has_Class = 352 - Has_Delay_Mechanism = 353 - Suspend_Flag = 354 - Is_Ref = 355 - Is_Forward_Ref = 356 - Psl_Property = 357 - Psl_Sequence = 358 - Psl_Declaration = 359 - Psl_Expression = 360 - Psl_Boolean = 361 - PSL_Clock = 362 - PSL_NFA = 363 - PSL_Nbr_States = 364 - PSL_Clock_Sensitivity = 365 - PSL_EOS_Flag = 366 - Count_Expression = 367 - Clock_Expression = 368 - Default_Clock = 369 - Foreign_Node = 370 + Referenced_Name = 272 + Expr_Staticness = 273 + Scalar_Size = 274 + Error_Origin = 275 + Operand = 276 + Left = 277 + Right = 278 + Unit_Name = 279 + Name = 280 + Group_Template_Name = 281 + Name_Staticness = 282 + Prefix = 283 + Signature_Prefix = 284 + External_Pathname = 285 + Pathname_Suffix = 286 + Pathname_Expression = 287 + In_Formal_Flag = 288 + Slice_Subtype = 289 + Suffix = 290 + Index_Subtype = 291 + Parameter = 292 + Parameter_2 = 293 + Parameter_3 = 294 + Parameter_4 = 295 + Attr_Chain = 296 + Signal_Attribute_Declaration = 297 + Actual_Type = 298 + Actual_Type_Definition = 299 + Association_Chain = 300 + Individual_Association_Chain = 301 + Subprogram_Association_Chain = 302 + Aggregate_Info = 303 + Sub_Aggregate_Info = 304 + Aggr_Dynamic_Flag = 305 + Aggr_Min_Length = 306 + Aggr_Low_Limit = 307 + Aggr_High_Limit = 308 + Aggr_Others_Flag = 309 + Aggr_Named_Flag = 310 + Aggregate_Expand_Flag = 311 + Association_Choices_Chain = 312 + Case_Statement_Alternative_Chain = 313 + Choice_Staticness = 314 + Procedure_Call = 315 + Implementation = 316 + Parameter_Association_Chain = 317 + Method_Object = 318 + Subtype_Type_Mark = 319 + Subnature_Nature_Mark = 320 + Type_Conversion_Subtype = 321 + Type_Mark = 322 + File_Type_Mark = 323 + Return_Type_Mark = 324 + Has_Disconnect_Flag = 325 + Has_Active_Flag = 326 + Is_Within_Flag = 327 + Type_Marks_List = 328 + Implicit_Alias_Flag = 329 + Alias_Signature = 330 + Attribute_Signature = 331 + Overload_List = 332 + Simple_Name_Identifier = 333 + Simple_Name_Subtype = 334 + Protected_Type_Body = 335 + Protected_Type_Declaration = 336 + Use_Flag = 337 + End_Has_Reserved_Id = 338 + End_Has_Identifier = 339 + End_Has_Postponed = 340 + Has_Label = 341 + Has_Begin = 342 + Has_End = 343 + Has_Is = 344 + Has_Pure = 345 + Has_Body = 346 + Has_Parameter = 347 + Has_Component = 348 + Has_Identifier_List = 349 + Has_Mode = 350 + Has_Class = 351 + Has_Delay_Mechanism = 352 + Suspend_Flag = 353 + Is_Ref = 354 + Is_Forward_Ref = 355 + Psl_Property = 356 + Psl_Sequence = 357 + Psl_Declaration = 358 + Psl_Expression = 359 + Psl_Boolean = 360 + PSL_Clock = 361 + PSL_NFA = 362 + PSL_Nbr_States = 363 + PSL_Clock_Sensitivity = 364 + PSL_EOS_Flag = 365 + Count_Expression = 366 + Clock_Expression = 367 + Default_Clock = 368 + Foreign_Node = 369 Get_Boolean = libghdl.vhdl__nodes_meta__get_boolean @@ -1102,8 +1101,6 @@ Has_Binding_Indication = libghdl.vhdl__nodes_meta__has_binding_indication Has_Named_Entity = libghdl.vhdl__nodes_meta__has_named_entity -Has_Alias_Declaration = libghdl.vhdl__nodes_meta__has_alias_declaration - Has_Referenced_Name = libghdl.vhdl__nodes_meta__has_referenced_name Has_Expr_Staticness = libghdl.vhdl__nodes_meta__has_expr_staticness diff --git a/src/vhdl/vhdl-nodes.adb b/src/vhdl/vhdl-nodes.adb index 8acd7f6ac..b5dd5e0bd 100644 --- a/src/vhdl/vhdl-nodes.adb +++ b/src/vhdl/vhdl-nodes.adb @@ -5762,22 +5762,6 @@ package body Vhdl.Nodes is Set_Field4 (Name, Val); end Set_Named_Entity; - function Get_Alias_Declaration (Name : Iir) return Iir is - begin - pragma Assert (Name /= Null_Iir); - pragma Assert (Has_Alias_Declaration (Get_Kind (Name)), - "no field Alias_Declaration"); - return Get_Field2 (Name); - end Get_Alias_Declaration; - - procedure Set_Alias_Declaration (Name : Iir; Val : Iir) is - begin - pragma Assert (Name /= Null_Iir); - pragma Assert (Has_Alias_Declaration (Get_Kind (Name)), - "no field Alias_Declaration"); - Set_Field2 (Name, Val); - end Set_Alias_Declaration; - function Get_Referenced_Name (N : Iir) return Iir is begin pragma Assert (N /= Null_Iir); diff --git a/src/vhdl/vhdl-nodes.ads b/src/vhdl/vhdl-nodes.ads index 7d79ca43d..da01e6bc4 100644 --- a/src/vhdl/vhdl-nodes.ads +++ b/src/vhdl/vhdl-nodes.ads @@ -4310,8 +4310,6 @@ package Vhdl.Nodes is -- -- Get/Set_Type (Field1) -- - -- Get/Set_Alias_Declaration (Field2) - -- -- Get/Set_Identifier (Field3) -- -- Get/Set_Named_Entity (Field4) @@ -4328,8 +4326,6 @@ package Vhdl.Nodes is -- -- Get/Set_Type (Field1) -- - -- Get/Set_Alias_Declaration (Field2) - -- -- Get/Set_Identifier (Field3) -- -- Get/Set_Named_Entity (Field4) @@ -4346,8 +4342,6 @@ package Vhdl.Nodes is -- -- Get/Set_Type (Field1) -- - -- Get/Set_Alias_Declaration (Field2) - -- -- Get/Set_Identifier (Field3) -- -- Get/Set_Named_Entity (Field4) @@ -4379,8 +4373,6 @@ package Vhdl.Nodes is -- -- Get/Set_Type (Field1) -- - -- Get/Set_Alias_Declaration (Field2) - -- -- Get/Set_Identifier (Field3) -- -- Get/Set_Named_Entity (Field4) @@ -8788,12 +8780,6 @@ package Vhdl.Nodes is function Get_Named_Entity (Name : Iir) return Iir; procedure Set_Named_Entity (Name : Iir; Val : Iir); - -- If a name designate a non-object alias, the designated alias. - -- Named_Entity will designate the aliased entity. - -- Field: Field2 Ref - function Get_Alias_Declaration (Name : Iir) return Iir; - procedure Set_Alias_Declaration (Name : Iir; Val : Iir); - -- Field: Field2 Ref function Get_Referenced_Name (N : Iir) return Iir; procedure Set_Referenced_Name (N : Iir; Name : Iir); diff --git a/src/vhdl/vhdl-nodes_meta.adb b/src/vhdl/vhdl-nodes_meta.adb index bd85e083c..91f764376 100644 --- a/src/vhdl/vhdl-nodes_meta.adb +++ b/src/vhdl/vhdl-nodes_meta.adb @@ -289,7 +289,6 @@ package body Vhdl.Nodes_Meta is Field_Default_Entity_Aspect => Type_Iir, Field_Binding_Indication => Type_Iir, Field_Named_Entity => Type_Iir, - Field_Alias_Declaration => Type_Iir, Field_Referenced_Name => Type_Iir, Field_Expr_Staticness => Type_Iir_Staticness, Field_Scalar_Size => Type_Scalar_Size, @@ -942,8 +941,6 @@ package body Vhdl.Nodes_Meta is return "binding_indication"; when Field_Named_Entity => return "named_entity"; - when Field_Alias_Declaration => - return "alias_declaration"; when Field_Referenced_Name => return "referenced_name"; when Field_Expr_Staticness => @@ -2332,8 +2329,6 @@ package body Vhdl.Nodes_Meta is return Attr_Maybe_Ref; when Field_Named_Entity => return Attr_Maybe_Forward_Ref; - when Field_Alias_Declaration => - return Attr_Ref; when Field_Referenced_Name => return Attr_Ref; when Field_Expr_Staticness => @@ -4767,7 +4762,6 @@ package body Vhdl.Nodes_Meta is Field_Expr_Staticness, Field_Name_Staticness, Field_Type, - Field_Alias_Declaration, Field_Named_Entity, Field_Base_Name, -- Iir_Kind_Simple_Name @@ -4776,7 +4770,6 @@ package body Vhdl.Nodes_Meta is Field_Expr_Staticness, Field_Name_Staticness, Field_Type, - Field_Alias_Declaration, Field_Named_Entity, Field_Base_Name, -- Iir_Kind_Selected_Name @@ -4786,14 +4779,12 @@ package body Vhdl.Nodes_Meta is Field_Name_Staticness, Field_Prefix, Field_Type, - Field_Alias_Declaration, Field_Named_Entity, Field_Base_Name, -- Iir_Kind_Operator_Symbol Field_Identifier, Field_Is_Forward_Ref, Field_Type, - Field_Alias_Declaration, Field_Named_Entity, Field_Base_Name, -- Iir_Kind_Reference_Name @@ -5494,74 +5485,74 @@ package body Vhdl.Nodes_Meta is Iir_Kind_Break_Statement => 1959, Iir_Kind_If_Statement => 1969, Iir_Kind_Elsif => 1975, - Iir_Kind_Character_Literal => 1983, - Iir_Kind_Simple_Name => 1991, - Iir_Kind_Selected_Name => 2000, - Iir_Kind_Operator_Symbol => 2006, - Iir_Kind_Reference_Name => 2011, - Iir_Kind_External_Constant_Name => 2020, - Iir_Kind_External_Signal_Name => 2029, - Iir_Kind_External_Variable_Name => 2039, - Iir_Kind_Selected_By_All_Name => 2045, - Iir_Kind_Parenthesis_Name => 2050, - Iir_Kind_Package_Pathname => 2054, - Iir_Kind_Absolute_Pathname => 2055, - Iir_Kind_Relative_Pathname => 2056, - Iir_Kind_Pathname_Element => 2061, - Iir_Kind_Base_Attribute => 2063, - Iir_Kind_Subtype_Attribute => 2068, - Iir_Kind_Element_Attribute => 2073, - Iir_Kind_Across_Attribute => 2078, - Iir_Kind_Through_Attribute => 2083, - Iir_Kind_Nature_Reference_Attribute => 2087, - Iir_Kind_Left_Type_Attribute => 2092, - Iir_Kind_Right_Type_Attribute => 2097, - Iir_Kind_High_Type_Attribute => 2102, - Iir_Kind_Low_Type_Attribute => 2107, - Iir_Kind_Ascending_Type_Attribute => 2112, - Iir_Kind_Image_Attribute => 2118, - Iir_Kind_Value_Attribute => 2124, - Iir_Kind_Pos_Attribute => 2130, - Iir_Kind_Val_Attribute => 2136, - Iir_Kind_Succ_Attribute => 2142, - Iir_Kind_Pred_Attribute => 2148, - Iir_Kind_Leftof_Attribute => 2154, - Iir_Kind_Rightof_Attribute => 2160, - Iir_Kind_Signal_Slew_Attribute => 2168, - Iir_Kind_Quantity_Slew_Attribute => 2176, - Iir_Kind_Ramp_Attribute => 2184, - Iir_Kind_Zoh_Attribute => 2192, - Iir_Kind_Ltf_Attribute => 2200, - Iir_Kind_Ztf_Attribute => 2210, - Iir_Kind_Dot_Attribute => 2217, - Iir_Kind_Integ_Attribute => 2224, - Iir_Kind_Above_Attribute => 2232, - Iir_Kind_Quantity_Delayed_Attribute => 2240, - Iir_Kind_Delayed_Attribute => 2249, - Iir_Kind_Stable_Attribute => 2258, - Iir_Kind_Quiet_Attribute => 2267, - Iir_Kind_Transaction_Attribute => 2276, - Iir_Kind_Event_Attribute => 2280, - Iir_Kind_Active_Attribute => 2284, - Iir_Kind_Last_Event_Attribute => 2288, - Iir_Kind_Last_Active_Attribute => 2292, - Iir_Kind_Last_Value_Attribute => 2296, - Iir_Kind_Driving_Attribute => 2300, - Iir_Kind_Driving_Value_Attribute => 2304, - Iir_Kind_Behavior_Attribute => 2304, - Iir_Kind_Structure_Attribute => 2304, - Iir_Kind_Simple_Name_Attribute => 2311, - Iir_Kind_Instance_Name_Attribute => 2316, - Iir_Kind_Path_Name_Attribute => 2321, - Iir_Kind_Left_Array_Attribute => 2328, - Iir_Kind_Right_Array_Attribute => 2335, - Iir_Kind_High_Array_Attribute => 2342, - Iir_Kind_Low_Array_Attribute => 2349, - Iir_Kind_Length_Array_Attribute => 2356, - Iir_Kind_Ascending_Array_Attribute => 2363, - Iir_Kind_Range_Array_Attribute => 2370, - Iir_Kind_Reverse_Range_Array_Attribute => 2377, - Iir_Kind_Attribute_Name => 2386 + Iir_Kind_Character_Literal => 1982, + Iir_Kind_Simple_Name => 1989, + Iir_Kind_Selected_Name => 1997, + Iir_Kind_Operator_Symbol => 2002, + Iir_Kind_Reference_Name => 2007, + Iir_Kind_External_Constant_Name => 2016, + Iir_Kind_External_Signal_Name => 2025, + Iir_Kind_External_Variable_Name => 2035, + Iir_Kind_Selected_By_All_Name => 2041, + Iir_Kind_Parenthesis_Name => 2046, + Iir_Kind_Package_Pathname => 2050, + Iir_Kind_Absolute_Pathname => 2051, + Iir_Kind_Relative_Pathname => 2052, + Iir_Kind_Pathname_Element => 2057, + Iir_Kind_Base_Attribute => 2059, + Iir_Kind_Subtype_Attribute => 2064, + Iir_Kind_Element_Attribute => 2069, + Iir_Kind_Across_Attribute => 2074, + Iir_Kind_Through_Attribute => 2079, + Iir_Kind_Nature_Reference_Attribute => 2083, + Iir_Kind_Left_Type_Attribute => 2088, + Iir_Kind_Right_Type_Attribute => 2093, + Iir_Kind_High_Type_Attribute => 2098, + Iir_Kind_Low_Type_Attribute => 2103, + Iir_Kind_Ascending_Type_Attribute => 2108, + Iir_Kind_Image_Attribute => 2114, + Iir_Kind_Value_Attribute => 2120, + Iir_Kind_Pos_Attribute => 2126, + Iir_Kind_Val_Attribute => 2132, + Iir_Kind_Succ_Attribute => 2138, + Iir_Kind_Pred_Attribute => 2144, + Iir_Kind_Leftof_Attribute => 2150, + Iir_Kind_Rightof_Attribute => 2156, + Iir_Kind_Signal_Slew_Attribute => 2164, + Iir_Kind_Quantity_Slew_Attribute => 2172, + Iir_Kind_Ramp_Attribute => 2180, + Iir_Kind_Zoh_Attribute => 2188, + Iir_Kind_Ltf_Attribute => 2196, + Iir_Kind_Ztf_Attribute => 2206, + Iir_Kind_Dot_Attribute => 2213, + Iir_Kind_Integ_Attribute => 2220, + Iir_Kind_Above_Attribute => 2228, + Iir_Kind_Quantity_Delayed_Attribute => 2236, + Iir_Kind_Delayed_Attribute => 2245, + Iir_Kind_Stable_Attribute => 2254, + Iir_Kind_Quiet_Attribute => 2263, + Iir_Kind_Transaction_Attribute => 2272, + Iir_Kind_Event_Attribute => 2276, + Iir_Kind_Active_Attribute => 2280, + Iir_Kind_Last_Event_Attribute => 2284, + Iir_Kind_Last_Active_Attribute => 2288, + Iir_Kind_Last_Value_Attribute => 2292, + Iir_Kind_Driving_Attribute => 2296, + Iir_Kind_Driving_Value_Attribute => 2300, + Iir_Kind_Behavior_Attribute => 2300, + Iir_Kind_Structure_Attribute => 2300, + Iir_Kind_Simple_Name_Attribute => 2307, + Iir_Kind_Instance_Name_Attribute => 2312, + Iir_Kind_Path_Name_Attribute => 2317, + Iir_Kind_Left_Array_Attribute => 2324, + Iir_Kind_Right_Array_Attribute => 2331, + Iir_Kind_High_Array_Attribute => 2338, + Iir_Kind_Low_Array_Attribute => 2345, + Iir_Kind_Length_Array_Attribute => 2352, + Iir_Kind_Ascending_Array_Attribute => 2359, + Iir_Kind_Range_Array_Attribute => 2366, + Iir_Kind_Reverse_Range_Array_Attribute => 2373, + Iir_Kind_Attribute_Name => 2382 ); function Get_Fields_First (K : Iir_Kind) return Fields_Index is @@ -6360,8 +6351,6 @@ package body Vhdl.Nodes_Meta is return Get_Binding_Indication (N); when Field_Named_Entity => return Get_Named_Entity (N); - when Field_Alias_Declaration => - return Get_Alias_Declaration (N); when Field_Referenced_Name => return Get_Referenced_Name (N); when Field_Error_Origin => @@ -6818,8 +6807,6 @@ package body Vhdl.Nodes_Meta is Set_Binding_Indication (N, V); when Field_Named_Entity => Set_Named_Entity (N, V); - when Field_Alias_Declaration => - Set_Alias_Declaration (N, V); when Field_Referenced_Name => Set_Referenced_Name (N, V); when Field_Error_Origin => @@ -11124,19 +11111,6 @@ package body Vhdl.Nodes_Meta is end case; end Has_Named_Entity; - function Has_Alias_Declaration (K : Iir_Kind) return Boolean is - begin - case K is - when Iir_Kind_Character_Literal - | Iir_Kind_Simple_Name - | Iir_Kind_Selected_Name - | Iir_Kind_Operator_Symbol => - return True; - when others => - return False; - end case; - end Has_Alias_Declaration; - function Has_Referenced_Name (K : Iir_Kind) return Boolean is begin return K = Iir_Kind_Reference_Name; diff --git a/src/vhdl/vhdl-nodes_meta.ads b/src/vhdl/vhdl-nodes_meta.ads index 65ace54bb..0585fbe93 100644 --- a/src/vhdl/vhdl-nodes_meta.ads +++ b/src/vhdl/vhdl-nodes_meta.ads @@ -333,7 +333,6 @@ package Vhdl.Nodes_Meta is Field_Default_Entity_Aspect, Field_Binding_Indication, Field_Named_Entity, - Field_Alias_Declaration, Field_Referenced_Name, Field_Expr_Staticness, Field_Scalar_Size, @@ -922,7 +921,6 @@ package Vhdl.Nodes_Meta is function Has_Default_Entity_Aspect (K : Iir_Kind) return Boolean; function Has_Binding_Indication (K : Iir_Kind) return Boolean; function Has_Named_Entity (K : Iir_Kind) return Boolean; - function Has_Alias_Declaration (K : Iir_Kind) return Boolean; function Has_Referenced_Name (K : Iir_Kind) return Boolean; function Has_Expr_Staticness (K : Iir_Kind) return Boolean; function Has_Scalar_Size (K : Iir_Kind) return Boolean; diff --git a/src/vhdl/vhdl-sem_names.adb b/src/vhdl/vhdl-sem_names.adb index ab4451d77..1ed7c7b64 100644 --- a/src/vhdl/vhdl-sem_names.adb +++ b/src/vhdl/vhdl-sem_names.adb @@ -2152,7 +2152,6 @@ package body Vhdl.Sem_Names is if not Keep_Alias and then Get_Kind (Res) = Iir_Kind_Non_Object_Alias_Declaration then - Set_Alias_Declaration (Name, Res); Res := Get_Named_Entity (Get_Name (Res)); end if; else -- cgit v1.2.3