From 7a005d5e7652517d5818e201d2d81c597ac75189 Mon Sep 17 00:00:00 2001 From: 1138-4EB <1138-4EB@users.noreply.github.com> Date: Sat, 26 May 2018 13:09:16 +0200 Subject: add .github (issue templates) --- .github/CONTRIBUTING.md | 9 +++++ .github/ISSUE_TEMPLATE/bug_report.md | 59 +++++++++++++++++++++++++++++++ .github/ISSUE_TEMPLATE/feature_request.md | 16 +++++++++ .github/PULL_REQUEST_TEMPLATE.md | 26 ++++++++++++++ 4 files changed, 110 insertions(+) create mode 100644 .github/CONTRIBUTING.md create mode 100644 .github/ISSUE_TEMPLATE/bug_report.md create mode 100644 .github/ISSUE_TEMPLATE/feature_request.md create mode 100644 .github/PULL_REQUEST_TEMPLATE.md (limited to '.github') diff --git a/.github/CONTRIBUTING.md b/.github/CONTRIBUTING.md new file mode 100644 index 000000000..0bfb84d1b --- /dev/null +++ b/.github/CONTRIBUTING.md @@ -0,0 +1,9 @@ +# Contributing to GHDL + +GHDL is a free and open source software brought to you with :heart: by [@tgingold](https://github.com/tgingold) and [contributors](https://github.com/ghdl/ghdl/graphs/contributors). So, first off, thanks for taking the time to contribute. We need all the help we can get :thumbsup: + +- Found a bug? See how to [report a bug](http://ghdl.readthedocs.io/en/latest/contribute.html#reporting-bugs) and open a [Bug report](https://github.com/ghdl/ghdl/issues/new?template=bug_report.md). +- Got a feature idea? See how to [request enhancements](http://ghdl.readthedocs.io/en/latest/contribute.html#requesting-enhancements) and open a [Feature request](https://github.com/ghdl/ghdl/issues/new?template=feature_request.md). +- Want to contribute modifications to the codebase? See how to [contribute modifications](http://ghdl.readthedocs.io/en/latest/contribute.html#fork-modify-and-pull-request) and open a [Pull Request (PR)](https://github.com/ghdl/ghdl/compare/). +- Spread the word. Talk to your friends and colleagues about how awesome GHDL is! +- Want to add VHDL design examples? Talk to us on [gitter.im/ghdl1/Lobby](https://gitter.im/ghdl1/Lobby). diff --git a/.github/ISSUE_TEMPLATE/bug_report.md b/.github/ISSUE_TEMPLATE/bug_report.md new file mode 100644 index 000000000..2acdd2cd3 --- /dev/null +++ b/.github/ISSUE_TEMPLATE/bug_report.md @@ -0,0 +1,59 @@ +--- +name: Bug report +about: Create a report to help us improve +--- + +**Description** +A clear and concise description of what the issue is about. + +**Expected behaviour** +What you expected to happen, and what is happening instead. + +**Context** +Please paste the `GHDL Bug occurred` log block here. Also, provide the following information: + +- OS: +- Origin: + - [ ] Package manager. Repo: + - [ ] Released binaries. Tarball: + - [ ] Built from sources. Commit SHA: + +**Additional context** +Add any other context about the problem here. If applicable, add screenshots to help explain your problem. + +**How to reproduce?** +Tell us how to reproduce this issue. Please provide a Minimal Working Example (MWE), that is compatible with [issue-runner](https://github.com/1138-4EB/issue-runner). With sample code it's easier to reproduce the bug and it's much faster to fix it. For example: + +``` +#>> ent.vhd +entity ent is +end entity; + +architecture a of ent is +begin + process begin + report "Hello world" severity note; + end process; +end; + +#>> sim.sh +ghdl -a ent.vhd +ghdl --elab-run ent + +#>> run.sh +docker run --rm -tv /$(pwd):/src -w //src ghdl/ghdl:buster-mcode sh -c ./sim.sh + +#>> end +``` + +Note that `run.sh` is used to execute `sim.sh` inside a docker container. Please, put your commands in `sim.sh` and just copy `run.sh` from the example. Using `ghdl/ghdl:*` docker images to run the MWEs ensures that the latest available GHDL is used. + +**Files** +A list of relevant files for this issue. Large files can be uploaded one-by-one or in a tarball/zipfile. See [1138-4EB/issue-runner#parser](https://github.com/1138-4EB/issue-runner#parser). + +**Checklist** +Before submitting your issue, please review the following checklist: + +- [ ] Add `GHDL Bug occurred` log block +- [ ] Add a MWE +- [ ] Try the latest version diff --git a/.github/ISSUE_TEMPLATE/feature_request.md b/.github/ISSUE_TEMPLATE/feature_request.md new file mode 100644 index 000000000..d2c13ab82 --- /dev/null +++ b/.github/ISSUE_TEMPLATE/feature_request.md @@ -0,0 +1,16 @@ +--- +name: Feature request +about: Suggest an idea for this project +--- + +**Is your feature request related to a problem? Please describe.** +Add a clear and concise description of what the problem is. E.g. *I'm always frustrated when [...]* + +**Describe the solution you'd like** +Add a clear and concise description of what you want to happen. + +**Describe alternatives you've considered** +Add a clear and concise description of any alternative solutions or features you've considered. + +**Additional context** +Add any other context or screenshots about the feature request here. diff --git a/.github/PULL_REQUEST_TEMPLATE.md b/.github/PULL_REQUEST_TEMPLATE.md new file mode 100644 index 000000000..8270c9713 --- /dev/null +++ b/.github/PULL_REQUEST_TEMPLATE.md @@ -0,0 +1,26 @@ +**Description** Please explain the changes you made here. +If the feature changes current behaviour, explain why your solution is better. + +:rotating_light: Before submitting your PR, please read [contribute](http://ghdl.readthedocs.io/en/latest/contribute.html#fork-modify-and-pull-request) in the [Docs](http://ghdl.readthedocs.io/en/latest/index.html), and review the following checklist: + +- [ ] DO indicate which issues are either fixed or closed by this PR. See [GitHub Help: Closing issues using keywords](https://help.github.com/articles/closing-issues-via-commit-messages/). + +**When contributing to the GHDL codebase...** + +- [ ] DO make sure you are requesting to **pull a topic/feature/bugfix branch** (right side). Don't request your master! +- [ ] DO make sure you are making a pull request against the **master branch** (left side). Also you should start *your branch* off *our master*. +- [ ] DO make sure that GHDL can be successfully built. See [Building GHDL](https://github.com/ghdl/ghdl#building-ghdl). +- [ ] CONSIDER adding a unit test if your PR resolves an issue. +- [ ] CONSIDER modifying the docs, at least in the TODO, if your contribution is relevant to any of the content. +- [ ] AVOID breaking the continuous integration build. +- [ ] AVOID breaking the testsuite. + +**When contributing to the docs...** + +- [ ] DO make sure that the build is successful. See [ghdl/ghdl#572 (issuecomment-390466024)](https://github.com/ghdl/ghdl/issues/572#issuecomment-390466024). + +**Further comments** + +If this is a relatively large or complex change, kick off the discussion by explaining why you chose the solution you did, what alternatives you considered, etc. + +:heart: Thank you! -- cgit v1.2.3