aboutsummaryrefslogtreecommitdiffstats
path: root/src
Commit message (Collapse)AuthorAgeFilesLines
* Fix hardcoded values in gcc backend's default_pathsDaniel Gröber2022-03-131-44/+0
| | | | | | | | | | The gcc backend's Make-lang.in still had hardcoded install paths. Since this is copied to the gcc build system we don't have access to our configure variables, so just treat it as a subst_file in the configure script. While we're at it we get rid of the separate sed invocation for default_paths and have that go through config.status instead.
* netlists-disp_verilog: fix disp_const_bitTristan Gingold2022-03-121-2/+2
|
* vhdl: check association restrictions for operators. Fix #1999Tristan Gingold2022-03-113-170/+181
|
* synth: check matching bounds for concatenationTristan Gingold2022-03-112-2/+4
|
* mk: Introduce configure options for inc/libdirsuffixDaniel Gröber2022-03-116-28/+31
| | | | | | | | This patch is based on one from the Debian ghdl packaging. We use it to support coinstallability of the different ghdl backend executables. Force prepending ghdl/ to the include path really doesn't help us with that any. Note this effectively reverts 786ca17ce.
* Fix --libghdl-include-dir ghdl/ suffixDaniel Gröber2022-03-111-1/+3
| | | | | | Commit 66cd5e0aa ("Include directory structure proposal.") added a ghdl/ subdir to the include directory. This wasn't properly reflected in ghdlsynth.
* synth: add debug_btTristan Gingold2022-03-084-0/+56
|
* synth: handle concatenation of unbounded types. Fix #1993Tristan Gingold2022-03-089-111/+64
|
* grt-signals.adb: Suppress overflow check before manual check. Fix #1994Tristan Gingold2022-03-051-6/+11
|
* vhdl-sem_names: fix a crash with select of element attribute. Fix #1992Tristan Gingold2022-03-041-1/+2
|
* vhdl: parse return identifier (v19)Tristan Gingold2022-03-045-210/+284
|
* synth-vhdl_oper: implement <= for arrays. Fix #1991Tristan Gingold2022-03-022-7/+19
|
* elab-vhdl_expr.adb(exec_name_subtype): handle indexed names. Fix #1986Tristan Gingold2022-03-021-0/+8
|
* vhdl-sem_decls: allow out/inout parameters to impure function in VHDL-2019Xiretza2022-03-011-3/+15
| | | | | This implements LCS-2016-002: http://www.eda-twiki.org/cgi-bin/view.cgi/P1076/LCS2016_002
* vhdl-sem_types: allow methods to return file and protected types in -2019Xiretza2022-03-011-1/+3
| | | | | | This implements LCS2016_004: http://www.eda-twiki.org/cgi-bin/view.cgi/P1076/LCS2016_004
* vhdl-sem_types: allow file and protected type access types in -2019Xiretza2022-03-011-7/+12
| | | | | This implements LCS-2016-014a: http://www.eda-twiki.org/cgi-bin/view.cgi/P1076/LCS2016_014a
* Add --std=19Xiretza2022-02-287-5/+16
| | | | | | Currently, all behaviour is the same as for --std=08, except for the standard library search path. No standard libraries exist yet, so running ghdl with --std=19 will fail.
* Prepare for release 2.0.0Tristan Gingold2022-02-281-1/+1
|
* ortho/mcode: relax assertion (and fix debug code). Fix #1980Tristan Gingold2022-02-262-2/+6
|
* trans-chap6.adb: fix minor typing issueTristan Gingold2022-02-261-2/+11
|
* vhdl-sem_psl.adb: don't crash on overload in HDL expr. Fix #1979Tristan Gingold2022-02-241-2/+12
|
* synth: fix handling of record constraints in subtype. Fix #1961Tristan Gingold2022-02-222-5/+28
|
* elab-vhdl_values.adb: fix a typo. Fix #1968Tristan Gingold2022-02-181-2/+2
|
* synth-vhdl_oper: handle to_unsigned with an unsigned for size. Fix #1977Tristan Gingold2022-02-171-27/+30
|
* synth: properly propagate bound errors. Fix #1972Tristan Gingold2022-02-174-16/+38
|
* synth-vhdl_oper: handle bit condition operator. Fix #1971Tristan Gingold2022-02-161-1/+2
|
* synth-vhdl_aggr: fix mismatch. Fix #1962Tristan Gingold2022-02-051-1/+6
|
* synth: fix handling of std_logic_unsigned."-" for negative numbers.Tristan Gingold2022-01-181-8/+12
| | | | Fix #1951
* errorout-console: check for TERM=dumb for colorize autodetect. Fix #1950Tristan Gingold2022-01-171-14/+30
|
* synth: adjust handling of subprogram calls in package instantiation. Fix #1947Tristan Gingold2022-01-161-3/+14
|
* synth: do not annotate generic types in package. Fix #1949Tristan Gingold2022-01-152-12/+23
|
* vhdl: add commentsTristan Gingold2022-01-151-1/+6
|
* synth: handle macro-expanded package body. Fix #1948Tristan Gingold2022-01-143-6/+16
|
* synth: handle alias of alias. Fix #1945Tristan Gingold2022-01-121-2/+15
|
* synth: refine handling of interface type. Fix #1944Tristan Gingold2022-01-102-8/+22
|
* trans-chap2.adb: handle Kind_Component in Copy_Info. Fix #1943Tristan Gingold2022-01-091-1/+8
|
* vhdl-sem_types: handle record in reparse_as_array_constraint. Fix #1934Tristan Gingold2022-01-091-0/+3
|
* synth: ignore use clauses in finalization Fix #1942Tristan Gingold2022-01-051-0/+2
|
* synth: handle package instantiation in declarations. Fix #1938Tristan Gingold2022-01-034-1/+12
|
* vhdl-sem_decls: copy subtype indication also for files. Fix #1936Tristan Gingold2021-12-281-0/+3
|
* dyn_maps: add Get_Index_Soft.Tristan Gingold2021-12-282-12/+50
|
* synth: add assertionsTristan Gingold2021-12-191-0/+4
|
* ghdldrv: fix crash due to double initializationTristan Gingold2021-12-192-2/+3
|
* synth: handle interface type in generics. For #412Tristan Gingold2021-12-154-28/+49
|
* mcode: generate and register .eh_frame on linux x86/64Tristan Gingold2021-12-1414-11/+179
| | | | This is needed to generate backtraces.
* ghdldrv: handle generic overrides on foreign unitsTristan Gingold2021-12-134-50/+75
|
* vhdl-sem_expr.adb: avoid a crash after forced analysisTristan Gingold2021-12-131-1/+2
|
* Fix opening files relative to the current vhdlMatt Johnston2021-12-071-0/+2
| | | | | | | This regressed in 86fd1ab3 ("synth: do full elaboration before synthesis") 1 Nov 2021
* synth: add --latches option to enable latches. Fix #938Tristan Gingold2021-12-063-1/+11
|
* vhdl-sem.adb: fix incorrect check for conformance rulesTristan Gingold2021-12-031-1/+3
|