aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl/vhdl-evaluation.adb
Commit message (Collapse)AuthorAgeFilesLines
* eval_static_expr_orig: free temporary node for range attributesTristan Gingold2023-03-261-17/+64
|
* eval_static_expr: improve tracking of originTristan Gingold2023-03-261-32/+47
|
* synth: use same layout for records in memory as translateTristan Gingold2023-02-081-1/+1
|
* vhdl-evaluation: factorize codeTristan Gingold2023-01-281-108/+94
|
* vhdl-evaluation: abstractionTristan Gingold2023-01-261-18/+13
|
* vhdl-evaluation: refactoringTristan Gingold2023-01-261-49/+22
|
* vhdl: improve range checks, fix #2323Tristan Gingold2023-01-251-114/+330
|
* vhdl-evaluation: handle default value for ieee operators.Tristan Gingold2022-12-191-0/+9
| | | | Fix #2276
* vhdl-evaluation: handle bit to_hstring.Tristan Gingold2022-12-171-1/+3
| | | | Fix #2269
* vhdl-evaluation: improve handling of ieee 08 static functions.Tristan Gingold2022-11-281-18/+49
| | | | Fix #2252
* synth-vhdl_eval(eval_static_predefined_function_call): handle all operationsTristan Gingold2022-11-281-4/+3
|
* vhdl-evaluation(build_array_choices_vector): handle vhdl-08 aggregates.Tristan Gingold2022-11-161-14/+48
| | | | For #2244
* vhdl-evaluation: handle to_string_digits. For #2233Tristan Gingold2022-10-291-5/+50
|
* synth: rework error procedure, always pass the instanceTristan Gingold2022-09-251-2/+2
|
* synth: use areapoolsTristan Gingold2022-09-021-4/+2
|
* vhdl: add support for file subtype. Fix #2174Tristan Gingold2022-08-111-1/+2
|
* vhdl-evaluation: explicitly compute integer_exp to handle overflow.Tristan Gingold2022-07-071-2/+31
| | | | Tentatively fix crash on mingw32
* vhdl-evaluation: make overflow_literal non locally static.Tristan Gingold2022-07-071-1/+3
| | | | Fix crash in translation (tentatively)
* vhdl-evaluation: handle more operations (thought synth).Tristan Gingold2022-07-021-2/+1
| | | | For #2116
* vhdl-evaluation.adb: avoid a crash on overflow. For #2070Tristan Gingold2022-06-011-11/+12
|
* synth: merge value for type_vector and type_arrayTristan Gingold2022-05-221-6/+6
|
* synth: renaming (synth-static_oper -> synth-vhdl_eval)Tristan Gingold2022-04-271-5/+4
|
* synth-static_oper: handle bit/boolean array element operationsTristan Gingold2022-04-271-3/+3
| | | | | (at least and). Fix #1898
* vhdl: handle static expressions with ieee operationsTristan Gingold2022-04-261-11/+341
|
* grt: extract grt-vhdl_types from grt-typesTristan Gingold2022-03-221-0/+2
|
* grt: refactoring to fix build failure. For #1913Tristan Gingold2021-11-171-2/+1
|
* vhdl-evaluation: use grt to compute value attribute for integers.Tristan Gingold2021-11-171-6/+17
| | | | For #1913
* vhdl-evaluation: catch bad parameter for value attribute. Fix #1913Tristan Gingold2021-11-151-1/+7
|
* vhdl: Iir_Kind_Foreign_Module is now a library unitTristan Gingold2021-11-091-0/+3
| | | | | (instead of a design unit). Also, add Iir_Kind_Foreign_Vector_Type_Definition
* vhdl-evaluation.adb: Minor style fixesTristan Gingold2021-09-231-60/+61
|
* Implement Matching Operators (#1872)Brian Padalino2021-09-221-8/+148
| | | Implement the matching operators ?<, ?<=, ?>, ?>=, ?/=.
* vhdl-evaluation.adb: handle iir_kind_aggregate in build_constant. Fix #543Tristan Gingold2021-09-181-0/+11
|
* vhdl-evaluation.adb: fix warningTristan Gingold2021-09-171-3/+0
|
* vhdl-evaluation: implement to_string for real with format. Fix #874Tristan Gingold2021-09-171-23/+69
|
* vhdl-evaluation: check integer evaluations fit in base type. Fix #1834Tristan Gingold2021-08-261-7/+28
|
* vhdl: introduce iir_kind_association_element_by_nameTristan Gingold2021-08-061-1/+2
|
* vhdl-evaluation: handle element attribute. Fix #1818Tristan Gingold2021-07-171-1/+2
|
* vhdl: handle mod/rem for physical. Fix #1810Tristan Gingold2021-06-301-0/+7
|
* vhdl-evaluation: add a commentTristan Gingold2021-05-221-0/+7
|
* vhdl-evaluation: avoid a crash on null range for enumeration typesTristan Gingold2021-05-211-2/+60
|
* vhdl-evaluation.adb: use is_overflow_literal.Tristan Gingold2021-02-111-13/+18
| | | | Avoid crash in Eval_Discrete_Range_Length on overflow.
* vhdl-evaluation: factorize codeTristan Gingold2021-02-111-7/+5
|
* eval_is_range_in_bound: avoid crash in case of overflow. Fix #1640Tristan Gingold2021-02-111-2/+12
|
* vhdl-evaluation: minor simplificationTristan Gingold2021-02-101-3/+1
|
* update license headersumarcor2021-01-141-11/+9
|
* vhdl-evaluation: minor rewrite.Tristan Gingold2020-09-281-5/+5
|
* vhdl: evaluate operands of operators, check bounds. For #1475Tristan Gingold2020-09-261-3/+17
|
* vhdl-evaluation: make eval_dyadic_bit_array_operator more generic.Tristan Gingold2020-08-251-42/+71
| | | | Handle any form of static expressions. Fix #1429
* vhdl: replace base_type with parent_type in nodesTristan Gingold2020-07-221-5/+7
| | | | | Only for subtype definition and remove base_type in type definitions. Allows to better track the addition of contraints.
* vhdl-evaluation: fix for array index. For #1387Tristan Gingold2020-07-021-1/+7
|