aboutsummaryrefslogtreecommitdiffstats
path: root/src/ghdldrv
Commit message (Collapse)AuthorAgeFilesLines
...
* synth: simplify support of inertial associations.Tristan Gingold2020-01-092-0/+5
|
* vhdl: handle -gGEN=VAL for --synth. Fix #1062Tristan Gingold2020-01-013-5/+86
|
* ghdlsynth: allow display for libghdl.Tristan Gingold2019-12-241-21/+42
|
* ghdlsynth: fix crash on synthesis of a second design.Tristan Gingold2019-12-051-0/+4
|
* synth: support multiple synthesis.Tristan Gingold2019-12-022-18/+34
|
* netlists-dump: add option --disp-noidTristan Gingold2019-11-301-0/+5
|
* synth: infrastructure for debugger.Tristan Gingold2019-11-201-0/+3
|
* ghdlsynth: add option -t for tracing.Tristan Gingold2019-11-171-0/+3
|
* ghdldrv: adjust after change in Dyn_Tables. Fix breakage.Tristan Gingold2019-11-111-6/+5
|
* ghdlmain: simplify code.Tristan Gingold2019-11-041-4/+1
|
* ghdlmain: fix deallocation in response file handling.Tristan Gingold2019-11-041-0/+10
|
* ghdlsynth: add -de option.Tristan Gingold2019-10-271-0/+3
|
* synth: add netlists-memories to extract memories. Still WIP.Tristan Gingold2019-10-171-0/+3
|
* synth: handle overflow literal.Tristan Gingold2019-10-151-1/+0
|
* Use Decode_Work_Option in options. Factorize code.Tristan Gingold2019-10-151-1/+3
|
* ghdlsynth: allow --work= option in the middle of files.Tristan Gingold2019-10-151-1/+13
|
* ghdlsynth: add --out=none to not display the result.Tristan Gingold2019-10-101-1/+6
|
* ghdlsynth: setup error messages for netlists.Tristan Gingold2019-10-071-0/+2
|
* ghdlsynth: add --out=dumpTristan Gingold2019-10-071-1/+7
|
* ghdlsynth: fix crash when using libghdl.Tristan Gingold2019-10-061-0/+2
|
* ghdlsynth: display bugbox in case of unknown exception.Tristan Gingold2019-10-021-1/+3
|
* synth: add debug flag -dc to not clean.Tristan Gingold2019-09-171-0/+3
|
* synth: add synth-flags, add debug option -di.Tristan Gingold2019-09-171-0/+4
|
* synth-disp_vhdl: handle record for input ports.Tristan Gingold2019-09-031-3/+6
|
* synth: add support for --synth on llvm, link with -lm.Tristan Gingold2019-08-301-0/+2
|
* vhdl psl: fully scan PSL keywords in scanner.Tristan Gingold2019-08-201-1/+7
|
* synth: analyze input files.Tristan Gingold2019-08-201-1/+8
|
* synth: handle verification units.Tristan Gingold2019-08-201-0/+2
|
* vhdl: recognize PSL units reserved words.Tristan Gingold2019-08-161-1/+1
|
* ghdlsynth: add command to get libghdl paths.Tristan Gingold2019-08-144-22/+97
|
* ghdldrv: move command_str_disp from ghdlvpi to ghdlmainTristan Gingold2019-08-143-38/+38
|
* vhdl: add PSL keywords to vhdl08 reserved words.Tristan Gingold2019-08-141-9/+2
|
* libghdl: also add synthesis part. For #884Tristan Gingold2019-08-132-8/+50
|
* Add support for PSL assumptions, used in formal verification (#880)Pepijn de Vos2019-08-072-0/+3
| | | | | | | | | | | | | | | | | | | | | | | | | | * vhdl: make the parser understand PSL assume * assume does not actually have report according to the spec. Just a property. * add SPL assume to semantic analysis * canonicalise PSL assume * add assume to annotations * add PSL assume to simulation code * statement -> directive * add assume to translation files * update ticked24 testcase * correctly parse assume * add assume testcase * refactor chunk of duplicated code
* synth: enable handling of pragma translate_on/off.Tristan Gingold2019-07-241-0/+3
|
* synth: use original entity to display netlist.Tristan Gingold2019-07-231-2/+10
|
* ghdlsynth: preliminary work for wrapped generation.Tristan Gingold2019-07-221-1/+8
|
* synth: minor rework.Tristan Gingold2019-07-221-10/+23
|
* ghdlsynth: quit early in case of error.Tristan Gingold2019-07-151-1/+10
|
* ghdlsynth: check top entity can be a top entity.Tristan Gingold2019-07-142-19/+35
|
* vhdl: refactoring: remove configure function with string access.Tristan Gingold2019-07-144-76/+81
|
* ghdlsynth: automatically find top entity if not specified.Tristan Gingold2019-07-141-8/+33
|
* synth: set flag_elaborate.Tristan Gingold2019-07-111-0/+2
|
* libghdl: automatically set the prefix from shared libraryTristan Gingold2019-07-091-1/+1
|
* ghdllocal: correctly disp GHDL_PREFIX in --disp-config.Tristan Gingold2019-07-093-8/+8
|
* configure: add --enable-synth (off by default).Tristan Gingold2019-07-064-4/+27
|
* libghdlsynth: decode options.Tristan Gingold2019-07-042-75/+96
|
* vhdl: parse and analyze restrict directive.Tristan Gingold2019-07-041-0/+2
|
* ghdllocal: fix a typo in an error message.Tristan Gingold2019-07-021-1/+1
|
* ghdlsynth: add option to select the output format.Tristan Gingold2019-07-011-6/+16
|