aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* trans-chap6: handle alias of unbounded record. For #641Tristan Gingold2021-05-131-1/+2
* Makefile.in: fix wrong path for libghw targetXiretza2021-05-101-3/+3
* ortho: fix CFLAGS/CXXFLAGS distinctionXiretza2021-05-102-2/+2
* configure, Makefile.in: use CXXFLAGS from environmentXiretza2021-05-102-2/+5
* ortho: use LDFLAGS and prefer them over defaultsXiretza2021-05-107-9/+8
* Makefile.in: strip -static from LDFLAGS for libghdlXiretza2021-05-101-1/+1
* Makefile.in: use LDFLAGSXiretza2021-05-101-6/+6
* configure: use LDFLAGS from environmentXiretza2021-05-101-1/+1
* Makefiles: remove unused GNAT_LARGS variableXiretza2021-05-102-6/+6
* Makefile.in: fold OPT_FLAGS and WARN_FLAGS into CFLAGSXiretza2021-05-101-8/+10
* grt/Makefile: use CFLAGSXiretza2021-05-101-2/+2
* Makefile.in: use CFLAGS from configureXiretza2021-05-101-6/+7
* Makefile.in: pass Ada warning options to GRTXiretza2021-05-101-7/+9
* grt: fix warning about possible constant declarationXiretza2021-05-101-1/+1
* Makefile.in: disable assertions for GRTXiretza2021-05-101-1/+1
* Makefile.in: pass ADA_FLAGS to GRTXiretza2021-05-101-0/+1
* configure: use ADA_FLAGS from environmentXiretza2021-05-102-1/+3
* Makefile.in: lift coverage flags from OPT_FLAGSXiretza2021-05-101-12/+10
* netlists-disp_verilog: fix display of constantsTristan Gingold2021-05-071-10/+20
* libghdl: regenerateTristan Gingold2021-05-071-62/+64
* std_names: add full and parallel case.Tristan Gingold2021-05-072-3/+7
* synth-environment: add Set/Get_Kind, Wire_UnsetTristan Gingold2021-05-072-1/+26
* netlists-cleanup: do not remove self-assigned output gateTristan Gingold2021-05-071-23/+30
* testsuite/gna: add a test for #1751Tristan Gingold2021-05-062-0/+27
* vhdl-sem_stmts: handle aliases for force/release assignment. Fix #1751Tristan Gingold2021-05-061-5/+8
* doc: mention -frelaxed to avoid incompatibility for shared variablesTristan Gingold2021-05-061-1/+2
* netlists-disp_verilog.adb: handle memidx, dyn_insert, dyn_extract.Tristan Gingold2021-05-041-74/+14
* testsuite/synth: add tests for concurrent dffTristan Gingold2021-04-305-0/+131
* ghw: avoid a warningTristan Gingold2021-04-302-3/+4
* ghdlsynth: never display a foreign module as pure vhdlTristan Gingold2021-04-281-2/+7
* doc: document --out=verilog for synthTristan Gingold2021-04-281-3/+6
* synth: add verilog outputTristan Gingold2021-04-283-0/+1423
* Increase stack size to 8MB for msys2-mcode (fix ghdl/ghdl#1742)Martin Bahlinger2021-04-281-1/+1
* synth: file renaming for decls, expr, insts and stmts.Tristan Gingold2021-04-2814-45/+49
* ghwdump: display help for -h or --helpTristan Gingold2021-04-271-0/+8
* synth: use a generic version of synth-environment.Tristan Gingold2021-04-2718-363/+479
* Makefile.in: remove circular reference for ghwdump on LinuxTristan Gingold2021-04-271-2/+0
* testsuite/gna: remove artifact fileTristan Gingold2021-04-271-0/+0
* testsuite/testenv.sh: Add ghw_dump functionTristan Gingold2021-04-272-2/+15
* testsuite/synth/aggr01: use synth_tbTristan Gingold2021-04-271-8/+1
* testsuite: try to determine ghwdump location automaticallyXiretza2021-04-272-1/+10
* testsuite: add die() functionXiretza2021-04-271-4/+8
* doc/development/building/LLVM: add 12.0 to the list of supported versionsumarcor2021-04-271-1/+2
* configure: update for LLVM 12.0 (#1747)Jeroen Van den Keybus2021-04-271-0/+1
* Migrate deprecated DebugLoc::get to DILocation::getJeroen Van den Keybus2021-04-271-4/+4
* pyGHDL: update formatting to black 21.4Xiretza2021-04-261-1/+1
* doc: temporarily pin docutils to 0.16, due to theme compatibility issues (rea...umarcor2021-04-261-0/+1
* ghw: rename define from '_GHWLIB_H_' to '_LIBGHW_H_'umarcor2021-04-241-4/+4
* ghw: rename 'ghwlib' to 'libghw' (#1741)umarcor2021-04-245-14/+14
* GHW: handle unbounded record subtypes (#1743) Fix #1740Xiretza2021-04-244-8/+260