aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
* Synopsys flavor for VHDL-2008 (#94)Patrick Lehmann2016-06-243-31/+52
| | | | | | * Added makefile rules for a VHDL-2008 synopsys flavor. Removed synopsys check in VHDL-2008 mode from ghdllocal.adb. * Adjusted testsuite run "gna/bug28", which tests for --std=08 and --ieee=synopsys to report a message.
* vpi: call last_known_delta after initialization (if makes sense).Tristan Gingold2016-06-241-0/+7
| | | | closes #93
* vpi: improve traces (trace all callbacks, trace format)Tristan Gingold2016-06-241-25/+72
|
* Install vendor shell scripts with executable mode.Tristan Gingold2016-06-222-2/+6
| | | | For issue #83
* grt: add grt-strings, use case insensitive compare for vpi_handle_by_nameTristan Gingold2016-06-227-70/+105
| | | | Fixes potentialventures/cocotb#460
* Merge pull request #91 from Paebbels/paebbels/compile-vendor-librarytgingold2016-06-229-979/+1139
|\ | | | | Paebbels/compile vendor library
| * Reworked vendor library compile scripts for Windows.Patrick Lehmann2016-06-229-979/+1139
| |
| * Merge branch 'master' into paebbels/compile-vendor-libraryPatrick Lehmann2016-06-222-0/+8
| |\ | |/ |/|
* | Install vendors scripts.Tristan Gingold2016-06-222-0/+8
| | | | | | | | Issue #83
* | Merge pull request #90 from Paebbels/paebbels/compile-vendor-librariestgingold2016-06-218-69/+92
|\| | | | | Implemented a work around for Mac OS.
| * Implemented a work around for Mac OS.Patrick Lehmann2016-06-218-69/+92
|/
* Compile scripts: Implemented a default installation directory guessing. ↵Patrick Lehmann2016-06-209-56/+138
| | | | Fixed minor issues (see #85). (#88)
* Add a test for vcd and fst dumps.Tristan Gingold2016-06-172-0/+58
|
* fst: dump enumerations.Tristan Gingold2016-06-176-96/+173
|
* Reworked vendor library compile scripts for Altera, Lattice and Xilinx as ↵Patrick Lehmann2016-06-179-1509/+1193
| | | | | | | | | | | well as OSVVM and VUnit. (#82) - Partial VHDL2008 support. - Refectored reusable code into Bash functions. - Generate GHDL output into the new directory structure -> lib/v93 / lib/v08 - Added new CLI parameters: - src -> source folder - out -> output folder - ghdl -> ghdl binary directory
* Typo severals -> several in Invoking doc (#81)Ciro Santilli 六四事件 法轮功 包卓轩2016-06-161-1/+1
|
* Document GCC backend needed to generate executables (#80)Ciro Santilli 六四事件 法轮功 包卓轩2016-06-162-7/+9
|
* Typo debugger -> debugged in BUILD.txt (#79)Ciro Santilli 六四事件 法轮功 包卓轩2016-06-161-1/+1
|
* vpi: add missing properties for cocotb.Tristan Gingold2016-06-152-1/+7
| | | | Fixes #56
* vpi: improve --vpi-trace output.Tristan Gingold2016-06-152-53/+457
|
* Typo carray -> carry in full adder example (#77)Ciro Santilli 六四事件 法轮功 包卓轩2016-06-141-1/+1
|
* Document bind only (-b) option to make (-m) command from commit 876bf7fea6d. ↵Forrest Voight2016-06-132-0/+8
| | | | (#76)
* Paebbels/master (#75)Patrick Lehmann2016-06-0719-744/+1923
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | * Obviously, this is the initial commit on a .gitignore file. * Implemented vendor specific compile scripts for: Altera Quartus-II (tested with 15.0), Xilinx ISE (tested with 14.7) and OSVVM. * Minor fixes. * First version of a README file for the vendor specific library compile scripts. * Vendor specific simulation library compile scripts: Improved error handling by stream processing. Extracted configuration into a config.ps1 file. * Minor fixes. * Added Unisim SecureIP primitives. Added Unimacro library. * Fixed file encoding of compile-osvvm.ps1. Implemented a 'advanced functions' to restore ghdl warning/error messages as a one-liner. * Splitted Xilinx compile scripts into *-ise.ps1 and *-vivado.ps1. * Added compile script for VUnit. * Updated README.md for the vendor compile scripts. * Added file headers. Change config.ps1 and shared.ps1 to a PowerShell module (*.psm1). * Fixed embedded help pages in all compile scripts. * Fixed all errors in compile script for Vivado simulation libraries: *_retarget_VCOMP.vhd was missing. * First steps towards compile scripts for Linux. * Translated lines from Windows script to Linux script. * Step 3 - colors and basic control blocks. * Changed copyright line in file header. * Changed copyright line in file header. * Added colorizer rules. Added skip mode for existing object files. Added cleanup mode. * Disabled vital checks in Xilinx's simprim library. Fixed typo. * Added '-Clean' parameter to cleanup the directory before analyzing. * Added a new '-Help' switch to all compile scripts to show the embedded help page(s). * Generalized config.sh. Fixed some bugs in compile-xilinx-ise.sh. * Added command line argument parsing. * Fixed syntax errors on Linux. * Added --all option. Generalized GHDL parameters. * Added '--skip-largefile' option. * Added the 'stop compiling' feature (not working). * Updated README.md for vendor specific compile scripts. * Implemented a check if grcat is installed on the system. Added support to execute the script from an other directory. Changed file size test from 'du -b' to 'wc -b'. * Fixed exit code problem in pipes. Fixed word count problem. * Minor fixes. * Fixed possible syntax error. * Implemented vendor specific library compile script for OSVVM. * Implemented vendor specific library compile script for VUnit. * Enabled disabled messages. Fixed typos. * Implemented vendor specific library compile script for Xilinx Vivado. * Minor fixes. * Implemented vendor specific library compile script for Altera Quartus-II. * Applied chmod to all new compile scripts. * Minor fixes. * Fixed typos. * Implemented 'halt-on-error' feature. * Fixed relative path problem for grcat. * README updates. * Updated PoSh scripts for - IEEE library compilation (complib.ps1) - added VITAL2000 support for VHDL-2008 - minor fixes - vendor compile scripts - added VHDL93 and VHDL2008 switches to compile vendor libraries with VHDL-2008 - minor fixes * Updated vendor library compile scripts (Bash) for Linux, too. * Fixed error in vendor library compile scripts for osvvm and vunit. * Windows compile scripts for mcode backend: Reworked compile.ps1. * Changed default output directory to xilinx-ise and xilinx-vivado. * compile-altera.sh: Skip large files * Updated compile script for OSVVM. * Improved OSVVM compile script for Linux.
* Add testcase for issue67Tristan Gingold2016-06-023-0/+45
|
* Handle default parameters for file_open.Tristan Gingold2016-06-025-11/+32
| | | | Display backtrace for error in file_open.
* Remove Get/Set_Type_ReferenceTristan Gingold2016-06-016-217/+166
|
* Add support for gcc-5 and gcc-6Tristan Gingold2016-05-312-0/+4306
|
* vhdl2008: implement new 'use clause' rules for types.Tristan Gingold2016-05-3113-240/+453
| | | | Adjust test issue72.
* Update Starting_with_GHDL.rst (#74)Rafael Catrou2016-05-281-2/+2
| | | | | | | | | | * Update Starting_with_GHDL.rst Add option "--workdir=work" for the command "$ ghdl -r --workdir=work dlx_test_behaviour" This avoid the error message "ghdl: cannot find entity or configuration dlx_test_behaviour" * Update Starting_with_GHDL.rst
* Add testcase for issue73.Tristan Gingold2016-05-272-0/+44
|
* Individual association: fix crash for array conversion due to slice.Tristan Gingold2016-05-271-0/+6
| | | | Fix for issue73.
* Comment vhdl 2008 part of issue72.Tristan Gingold2016-05-261-0/+5
|
* vpi: add vpiSize.Tristan Gingold2016-05-261-0/+1
|
* avhpi: handle unbound componentTristan Gingold2016-05-261-1/+8
| | | | for issue 71.
* Add testcase for issue72.Tristan Gingold2016-05-254-0/+81
|
* Add reproducer for issue71Tristan Gingold2016-05-252-0/+27
|
* ghwdump: make output more easily readable.Tristan Gingold2016-05-234-59/+120
|
* Add testcase for issue69Tristan Gingold2016-05-183-0/+32
|
* Also search library in DIR/LIB/vVV.Tristan Gingold2016-05-174-29/+68
| | | | Implement enhancement for issue69.
* mhdlsim: add initial version of the vhdl part (as a library).Tristan Gingold2016-05-174-0/+147
|
* ghdldrv: add functionnal API to compile.Tristan Gingold2016-05-076-31/+96
|
* Added Lattice Semiconductor vendor librariesMarkus Koch2016-05-042-0/+237
|
* configure: tentative fix for issue 61Tristan Gingold2016-05-041-2/+1
|
* travis: enable glob_file.Tristan Gingold2016-05-031-0/+1
|
* travis: adjust script and configTristan Gingold2016-05-032-2/+7
|
* travis-ci: deploy snapshot using github release.Tristan Gingold2016-05-032-1/+11
|
* travis-ci: create a tarball for the build.Tristan Gingold2016-05-031-5/+16
|
* Add new vpi symbols to grt.verTristan Gingold2016-04-291-0/+3
| | | | For issue 56
* Add reproducer for submitted crash.Tristan Gingold2016-04-292-0/+176
|
* grt-fst.adb: remove reference to unused entity,Tristan Gingold2016-04-291-3/+0
| | | | Fix issue 57