aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/statements/if-statements/simple-if-statement.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/vests/vhdl-93/clifton-labs/compliant/functional/statements/if-statements/simple-if-statement.vhdl')
-rw-r--r--testsuite/vests/vhdl-93/clifton-labs/compliant/functional/statements/if-statements/simple-if-statement.vhdl37
1 files changed, 37 insertions, 0 deletions
diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/statements/if-statements/simple-if-statement.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/statements/if-statements/simple-if-statement.vhdl
new file mode 100644
index 000000000..d84b85f7e
--- /dev/null
+++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/statements/if-statements/simple-if-statement.vhdl
@@ -0,0 +1,37 @@
+entity test is
+end test;
+
+architecture only of test is
+
+begin -- only
+ doit: process
+ variable one, two, three : boolean := false;
+ begin -- process doit
+ if true then
+ one := true;
+ else
+
+ end if;
+
+ if false then
+ one := false;
+ else
+ two := true;
+ end if;
+
+ if false then
+ one := false;
+ elsif true then
+ three := true;
+ else
+ two := false;
+ end if;
+
+ assert one report "TEST FAILED - first if test failed" severity failure;
+ assert two report "TEST FAILED - second if test failed" severity failure;
+ assert three report "TEST FAILED - third if test failed" severity failure;
+ report "TEST PASSED" severity note;
+
+ wait;
+ end process doit;
+end only;