aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/packages
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/vests/vhdl-93/clifton-labs/compliant/functional/packages')
-rw-r--r--testsuite/vests/vhdl-93/clifton-labs/compliant/functional/packages/simple_package_body_test.vhdl28
-rw-r--r--testsuite/vests/vhdl-93/clifton-labs/compliant/functional/packages/simple_package_test.vhdl20
2 files changed, 48 insertions, 0 deletions
diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/packages/simple_package_body_test.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/packages/simple_package_body_test.vhdl
new file mode 100644
index 000000000..205cb359a
--- /dev/null
+++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/packages/simple_package_body_test.vhdl
@@ -0,0 +1,28 @@
+package test_pkg is
+ function return_one
+ return integer;
+end test_pkg;
+
+package body test_pkg is
+ function return_one
+ return integer is
+ begin -- return_one
+ return 1;
+ end return_one;
+end test_pkg;
+
+
+use work.test_pkg.all;
+
+entity test is
+end test;
+
+architecture only of test is
+begin -- only
+ p: process
+ begin -- process p
+ assert ( return_one = 1 ) report "TEST FAILED" severity FAILURE;
+ report "TEST PASSED" severity NOTE;
+ wait;
+ end process p;
+end only;
diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/packages/simple_package_test.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/packages/simple_package_test.vhdl
new file mode 100644
index 000000000..c7f0f2efa
--- /dev/null
+++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/packages/simple_package_test.vhdl
@@ -0,0 +1,20 @@
+package test_pkg is
+ type small_int is range -5 to 5;
+end test_pkg;
+
+use work.test_pkg.all;
+
+entity test is
+end test;
+
+architecture only of test is
+begin -- only
+ p: process
+ variable x : small_int;
+ begin -- process p
+ x := 3;
+ assert ( x = 3 ) report "TEST FAILED" severity FAILURE;
+ report "TEST PASSED" severity NOTE;
+ wait;
+ end process p;
+end only;