aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/type/simple-integer-test-value.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/type/simple-integer-test-value.vhdl')
-rw-r--r--testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/type/simple-integer-test-value.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/type/simple-integer-test-value.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/type/simple-integer-test-value.vhdl
new file mode 100644
index 000000000..97b6cd247
--- /dev/null
+++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/type/simple-integer-test-value.vhdl
@@ -0,0 +1,17 @@
+entity test is
+end test;
+
+architecture only of test is
+ type small is range 1 to 3;
+begin -- only
+p: process
+begin -- process p
+ assert small'value("1") = 1 report "TEST FAILED value 1" severity FAILURE;
+ report "TEST PASSED value 1" severity NOTE;
+ assert small'value("2") = 2 report "TEST FAILED value 2" severity FAILURE;
+ report "TEST PASSED value 2" severity NOTE;
+ assert small'value("3") = 3 report "TEST FAILED value 3" severity FAILURE;
+ report "TEST PASSED value 3" severity NOTE;
+ wait;
+end process p;
+end only;