aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/type/simple-integer-test-leftof.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/type/simple-integer-test-leftof.vhdl')
-rw-r--r--testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/type/simple-integer-test-leftof.vhdl15
1 files changed, 15 insertions, 0 deletions
diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/type/simple-integer-test-leftof.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/type/simple-integer-test-leftof.vhdl
new file mode 100644
index 000000000..b82884a13
--- /dev/null
+++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/attributes/type/simple-integer-test-leftof.vhdl
@@ -0,0 +1,15 @@
+entity test is
+end test;
+
+architecture only of test is
+ type small is range 1 to 3;
+begin -- only
+p: process
+begin -- process p
+ assert small'leftof(2) = 1 report "TEST FAILED. leftof 2 = 1" severity FAILURE;
+ report "TEST PASSED leftof 2 = 1" severity NOTE;
+ assert small'leftof(3) = 2 report "TEST FAILED. leftof 3 = 2" severity FAILURE;
+ report "TEST PASSED leftof 3 = 2" severity NOTE;
+ wait;
+end process p;
+end only;