aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/aggregates/simple-aggregate-lvalue.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/vests/vhdl-93/clifton-labs/compliant/functional/aggregates/simple-aggregate-lvalue.vhdl')
-rw-r--r--testsuite/vests/vhdl-93/clifton-labs/compliant/functional/aggregates/simple-aggregate-lvalue.vhdl19
1 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/aggregates/simple-aggregate-lvalue.vhdl b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/aggregates/simple-aggregate-lvalue.vhdl
new file mode 100644
index 000000000..2f338a297
--- /dev/null
+++ b/testsuite/vests/vhdl-93/clifton-labs/compliant/functional/aggregates/simple-aggregate-lvalue.vhdl
@@ -0,0 +1,19 @@
+entity test is
+end test;
+
+architecture only of test is
+ type int_array is array (3 downto 0) of integer;
+begin -- only
+ p: process
+ variable w, x, y, z : integer := 0;
+ variable q : int_array := (3, 2, 1, 0);
+ begin -- process p
+ (w, x, y, z) := q;
+ assert w = 3 report "TEST FAILED" severity FAILURE;
+ assert x = 2 report "TEST FAILED" severity FAILURE;
+ assert y = 1 report "TEST FAILED" severity FAILURE;
+ assert z = 0 report "TEST FAILED" severity FAILURE;
+ report "TEST PASSED" severity NOTE;
+ wait;
+ end process p;
+end only;