aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth')
-rwxr-xr-xtestsuite/synth/aggr03/testsuite.sh11
-rwxr-xr-xtestsuite/synth/block01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/cnt01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/concat01/testsuite.sh11
-rwxr-xr-xtestsuite/synth/const01/testsuite.sh4
-rwxr-xr-xtestsuite/synth/dff02/testsuite.sh9
-rwxr-xr-xtestsuite/synth/dff03/testsuite.sh9
-rwxr-xr-xtestsuite/synth/exit01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/forgen01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/fsm01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/fsm02/testsuite.sh18
-rwxr-xr-xtestsuite/synth/fsm03/testsuite.sh9
-rwxr-xr-xtestsuite/synth/func01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/func03/testsuite.sh4
-rwxr-xr-xtestsuite/synth/iassoc01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/if01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/insert01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/issue1014/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1018/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1021/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1025/testsuite.sh9
-rwxr-xr-xtestsuite/synth/issue1034/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1035/testsuite.sh6
-rwxr-xr-xtestsuite/synth/issue1036/testsuite.sh5
-rwxr-xr-xtestsuite/synth/issue1042/testsuite.sh6
-rwxr-xr-xtestsuite/synth/issue1046/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1054/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1058/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1062/testsuite.sh10
-rwxr-xr-xtestsuite/synth/issue1064/testsuite.sh9
-rwxr-xr-xtestsuite/synth/issue1076/testsuite.sh9
-rwxr-xr-xtestsuite/synth/issue1077/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1080/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1082/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1083/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1090/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1096/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1100/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue1107/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1114/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1116/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1117/testsuite.sh18
-rwxr-xr-xtestsuite/synth/issue1126/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1130/testsuite.sh7
-rwxr-xr-xtestsuite/synth/issue1133/testsuite.sh6
-rwxr-xr-xtestsuite/synth/issue1675/testsuite.sh36
-rwxr-xr-xtestsuite/synth/issue872/testsuite.sh3
-rwxr-xr-xtestsuite/synth/issue882/testsuite.sh3
-rwxr-xr-xtestsuite/synth/issue937/testsuite.sh9
-rwxr-xr-xtestsuite/synth/issue940/testsuite.sh5
-rwxr-xr-xtestsuite/synth/issue941/testsuite.sh5
-rwxr-xr-xtestsuite/synth/issue946/testsuite.sh5
-rwxr-xr-xtestsuite/synth/issue947/testsuite.sh6
-rwxr-xr-xtestsuite/synth/issue951/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue955/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue960/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue963/testsuite.sh9
-rwxr-xr-xtestsuite/synth/issue964/testsuite.sh11
-rwxr-xr-xtestsuite/synth/issue973/testsuite.sh11
-rwxr-xr-xtestsuite/synth/lit01/testsuite.sh11
-rwxr-xr-xtestsuite/synth/memmux01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/output01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/physical01/testsuite.sh7
-rwxr-xr-xtestsuite/synth/rec01/testsuite.sh11
-rwxr-xr-xtestsuite/synth/stmt01/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth12/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth34/testsuite.sh9
-rwxr-xr-xtestsuite/synth/synth36/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth38/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth39/testsuite.sh9
-rwxr-xr-xtestsuite/synth/synth40/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth50/testsuite.sh4
-rwxr-xr-xtestsuite/synth/synth56/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth76/testsuite.sh11
-rwxr-xr-xtestsuite/synth/synth8/testsuite.sh9
-rwxr-xr-xtestsuite/synth/synth87/testsuite.sh11
-rwxr-xr-xtestsuite/synth/uassoc01/testsuite.sh9
-rwxr-xr-xtestsuite/synth/var01/testsuite.sh9
78 files changed, 108 insertions, 623 deletions
diff --git a/testsuite/synth/aggr03/testsuite.sh b/testsuite/synth/aggr03/testsuite.sh
index effc23552..bff6abfbf 100755
--- a/testsuite/synth/aggr03/testsuite.sh
+++ b/testsuite/synth/aggr03/testsuite.sh
@@ -3,15 +3,6 @@
. ../../testenv.sh
GHDL_STD_FLAGS=--std=08
-for t in conv01; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb conv01
echo "Test successful"
diff --git a/testsuite/synth/block01/testsuite.sh b/testsuite/synth/block01/testsuite.sh
index 8729220fc..8b9247571 100755
--- a/testsuite/synth/block01/testsuite.sh
+++ b/testsuite/synth/block01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in block01 block02; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/cnt01/testsuite.sh b/testsuite/synth/cnt01/testsuite.sh
index 4e2d78326..7cdfc8731 100755
--- a/testsuite/synth/cnt01/testsuite.sh
+++ b/testsuite/synth/cnt01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in cnt01 cnt02 cnt04; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/concat01/testsuite.sh b/testsuite/synth/concat01/testsuite.sh
index 083547e63..d592fb22e 100755
--- a/testsuite/synth/concat01/testsuite.sh
+++ b/testsuite/synth/concat01/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in concat01; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb concat01
echo "Test successful"
diff --git a/testsuite/synth/const01/testsuite.sh b/testsuite/synth/const01/testsuite.sh
index e72cffdcd..f1badcd9f 100755
--- a/testsuite/synth/const01/testsuite.sh
+++ b/testsuite/synth/const01/testsuite.sh
@@ -2,9 +2,7 @@
. ../../testenv.sh
-for t in const01; do
- synth_tb $t
-done
+synth_tb const01
# synth const02.vhdl -e > syn_const02.vhdl
synth_analyze const03
diff --git a/testsuite/synth/dff02/testsuite.sh b/testsuite/synth/dff02/testsuite.sh
index e85d78d97..0669961f5 100755
--- a/testsuite/synth/dff02/testsuite.sh
+++ b/testsuite/synth/dff02/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in dff05 dff06 dff08 dff08a dff08b dff08c dff08d dff09; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/dff03/testsuite.sh b/testsuite/synth/dff03/testsuite.sh
index 5700e4cef..cc55ef7dc 100755
--- a/testsuite/synth/dff03/testsuite.sh
+++ b/testsuite/synth/dff03/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in dff01 dff02 dff03 dff04 dff05 dff06 dff07; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/exit01/testsuite.sh b/testsuite/synth/exit01/testsuite.sh
index a8a8026f7..9469df959 100755
--- a/testsuite/synth/exit01/testsuite.sh
+++ b/testsuite/synth/exit01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in exit01 exit02; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/forgen01/testsuite.sh b/testsuite/synth/forgen01/testsuite.sh
index d9818a2e2..114192140 100755
--- a/testsuite/synth/forgen01/testsuite.sh
+++ b/testsuite/synth/forgen01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in forgen01 forgen02 forgen03; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/fsm01/testsuite.sh b/testsuite/synth/fsm01/testsuite.sh
index 875ae0a23..2627f6033 100755
--- a/testsuite/synth/fsm01/testsuite.sh
+++ b/testsuite/synth/fsm01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in fsm_2s fsm_3s fsm_4s fsm_5s fsm_6s fsm_7s; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/fsm02/testsuite.sh b/testsuite/synth/fsm02/testsuite.sh
index 6326874de..c10e0195a 100755
--- a/testsuite/synth/fsm02/testsuite.sh
+++ b/testsuite/synth/fsm02/testsuite.sh
@@ -2,15 +2,13 @@
. ../../testenv.sh
-for t in recv; do
- analyze -fpsl $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth -fpsl $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+analyze -fpsl recv.vhdl tb_recv.vhdl
+elab_simulate tb_recv
+clean
+
+synth -fpsl recv.vhdl -e recv > syn_recv.vhdl
+analyze syn_recv.vhdl tb_recv.vhdl
+elab_simulate tb_recv
+clean
echo "Test successful"
diff --git a/testsuite/synth/fsm03/testsuite.sh b/testsuite/synth/fsm03/testsuite.sh
index 31b338371..2581cd97b 100755
--- a/testsuite/synth/fsm03/testsuite.sh
+++ b/testsuite/synth/fsm03/testsuite.sh
@@ -4,14 +4,7 @@ exit 0
. ../../testenv.sh
for t in rom1 dpram1 dpram2 dpram3; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/func01/testsuite.sh b/testsuite/synth/func01/testsuite.sh
index 2db9e30c9..516f12dcb 100755
--- a/testsuite/synth/func01/testsuite.sh
+++ b/testsuite/synth/func01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in func01 func02 func03 func04 func05 func06 func07 func08b func08; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/func03/testsuite.sh b/testsuite/synth/func03/testsuite.sh
index 74351ab7e..c3ce3ec78 100755
--- a/testsuite/synth/func03/testsuite.sh
+++ b/testsuite/synth/func03/testsuite.sh
@@ -2,8 +2,6 @@
. ../../testenv.sh
-for t in func01; do
- synth_tb $t
-done
+synth_tb func01
echo "Test successful"
diff --git a/testsuite/synth/iassoc01/testsuite.sh b/testsuite/synth/iassoc01/testsuite.sh
index 7e01dc72a..e1610a0b7 100755
--- a/testsuite/synth/iassoc01/testsuite.sh
+++ b/testsuite/synth/iassoc01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in iassoc01 iassoc02 iassoc03 iassoc04 iassoc11 iassoc12; do
- analyze pkg.vhdl $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth pkg.vhdl $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t pkg.vhdl
done
echo "Test successful"
diff --git a/testsuite/synth/if01/testsuite.sh b/testsuite/synth/if01/testsuite.sh
index cb7a8bdf6..d9cfeb215 100755
--- a/testsuite/synth/if01/testsuite.sh
+++ b/testsuite/synth/if01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in if01 if02; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/insert01/testsuite.sh b/testsuite/synth/insert01/testsuite.sh
index 861ec8b04..056fb9208 100755
--- a/testsuite/synth/insert01/testsuite.sh
+++ b/testsuite/synth/insert01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in insert01 insert02; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/issue1014/testsuite.sh b/testsuite/synth/issue1014/testsuite.sh
index 5acecf2a9..c9ac2846a 100755
--- a/testsuite/synth/issue1014/testsuite.sh
+++ b/testsuite/synth/issue1014/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in record_test; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb record_test
echo "Test successful"
diff --git a/testsuite/synth/issue1018/testsuite.sh b/testsuite/synth/issue1018/testsuite.sh
index 45e08609a..b61d639a8 100755
--- a/testsuite/synth/issue1018/testsuite.sh
+++ b/testsuite/synth/issue1018/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in test ; do
- synth -de $t.vhdl -e > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze test
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1021/testsuite.sh b/testsuite/synth/issue1021/testsuite.sh
index cca8f9080..fd9809025 100755
--- a/testsuite/synth/issue1021/testsuite.sh
+++ b/testsuite/synth/issue1021/testsuite.sh
@@ -4,15 +4,6 @@
GHDL_STD_FLAGS=--std=08
-for t in test; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb test
echo "Test successful"
diff --git a/testsuite/synth/issue1025/testsuite.sh b/testsuite/synth/issue1025/testsuite.sh
index 9cf76ccac..4af5f5794 100755
--- a/testsuite/synth/issue1025/testsuite.sh
+++ b/testsuite/synth/issue1025/testsuite.sh
@@ -4,14 +4,7 @@
GHDL_STD_FLAGS=--std=08
for t in ent; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/issue1034/testsuite.sh b/testsuite/synth/issue1034/testsuite.sh
index 045165428..1d7f18bbb 100755
--- a/testsuite/synth/issue1034/testsuite.sh
+++ b/testsuite/synth/issue1034/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in ent; do
- analyze p.vhdl $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth p.vhdl $t.vhdl -e $t > syn_$t.vhdl
- analyze p.vhdl syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb ent p.vhdl
echo "Test successful"
diff --git a/testsuite/synth/issue1035/testsuite.sh b/testsuite/synth/issue1035/testsuite.sh
index 730cf6d16..ba960e856 100755
--- a/testsuite/synth/issue1035/testsuite.sh
+++ b/testsuite/synth/issue1035/testsuite.sh
@@ -3,11 +3,7 @@
. ../../testenv.sh
GHDL_STD_FLAGS=--std=08
-for t in ent; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
-done
-
+synth_analyze ent
clean
echo "Test successful"
diff --git a/testsuite/synth/issue1036/testsuite.sh b/testsuite/synth/issue1036/testsuite.sh
index ed8a512fa..ba960e856 100755
--- a/testsuite/synth/issue1036/testsuite.sh
+++ b/testsuite/synth/issue1036/testsuite.sh
@@ -3,10 +3,7 @@
. ../../testenv.sh
GHDL_STD_FLAGS=--std=08
-for t in ent; do
- synth $t.vhdl -e $t > syn_$t.vhdl
-done
-
+synth_analyze ent
clean
echo "Test successful"
diff --git a/testsuite/synth/issue1042/testsuite.sh b/testsuite/synth/issue1042/testsuite.sh
index bc454cfda..c666942d3 100755
--- a/testsuite/synth/issue1042/testsuite.sh
+++ b/testsuite/synth/issue1042/testsuite.sh
@@ -2,11 +2,7 @@
. ../../testenv.sh
-for t in ent; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
-done
-
+synth_analyze ent
clean
echo "Test successful"
diff --git a/testsuite/synth/issue1046/testsuite.sh b/testsuite/synth/issue1046/testsuite.sh
index 0ad6d0e90..d592fb22e 100755
--- a/testsuite/synth/issue1046/testsuite.sh
+++ b/testsuite/synth/issue1046/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in concat01; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb concat01
echo "Test successful"
diff --git a/testsuite/synth/issue1054/testsuite.sh b/testsuite/synth/issue1054/testsuite.sh
index 564b47fc4..d7108f1eb 100755
--- a/testsuite/synth/issue1054/testsuite.sh
+++ b/testsuite/synth/issue1054/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in simple01; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb simple01
echo "Test successful"
diff --git a/testsuite/synth/issue1058/testsuite.sh b/testsuite/synth/issue1058/testsuite.sh
index e30a741e0..5c1da263d 100755
--- a/testsuite/synth/issue1058/testsuite.sh
+++ b/testsuite/synth/issue1058/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in ent; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb ent
echo "Test successful"
diff --git a/testsuite/synth/issue1062/testsuite.sh b/testsuite/synth/issue1062/testsuite.sh
index 2fcf72e02..d78142222 100755
--- a/testsuite/synth/issue1062/testsuite.sh
+++ b/testsuite/synth/issue1062/testsuite.sh
@@ -2,11 +2,9 @@
. ../../testenv.sh
-for t in ent; do
- synth -ggen1=5 -ggens=TRUE $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth -ggen1=5 -ggens=TRUE ent.vhdl -e ent > syn_ent.vhdl
+analyze syn_ent.vhdl tb_ent.vhdl
+elab_simulate tb_ent --ieee-asserts=disable-at-0
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1064/testsuite.sh b/testsuite/synth/issue1064/testsuite.sh
index c0d948a7a..e098bbc58 100755
--- a/testsuite/synth/issue1064/testsuite.sh
+++ b/testsuite/synth/issue1064/testsuite.sh
@@ -4,14 +4,7 @@
GHDL_STD_FLAGS=--std=08
for t in test testrec; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/issue1076/testsuite.sh b/testsuite/synth/issue1076/testsuite.sh
index 4593a0d74..5fc300084 100755
--- a/testsuite/synth/issue1076/testsuite.sh
+++ b/testsuite/synth/issue1076/testsuite.sh
@@ -5,14 +5,7 @@
GHDL_STD_FLAGS=--std=08
for t in ent ent2; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/issue1077/testsuite.sh b/testsuite/synth/issue1077/testsuite.sh
index b6f55d91d..ba960e856 100755
--- a/testsuite/synth/issue1077/testsuite.sh
+++ b/testsuite/synth/issue1077/testsuite.sh
@@ -3,10 +3,7 @@
. ../../testenv.sh
GHDL_STD_FLAGS=--std=08
-for t in ent; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze ent
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1080/testsuite.sh b/testsuite/synth/issue1080/testsuite.sh
index 06c7b7061..2a368234e 100755
--- a/testsuite/synth/issue1080/testsuite.sh
+++ b/testsuite/synth/issue1080/testsuite.sh
@@ -8,15 +8,6 @@ for t in repro repro2 repro2_1 repro3 repro4; do
clean
done
-for t in repro3_1; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb repro3_1
echo "Test successful"
diff --git a/testsuite/synth/issue1082/testsuite.sh b/testsuite/synth/issue1082/testsuite.sh
index cca8f9080..fd9809025 100755
--- a/testsuite/synth/issue1082/testsuite.sh
+++ b/testsuite/synth/issue1082/testsuite.sh
@@ -4,15 +4,6 @@
GHDL_STD_FLAGS=--std=08
-for t in test; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb test
echo "Test successful"
diff --git a/testsuite/synth/issue1083/testsuite.sh b/testsuite/synth/issue1083/testsuite.sh
index a4c28bcd4..b61d639a8 100755
--- a/testsuite/synth/issue1083/testsuite.sh
+++ b/testsuite/synth/issue1083/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in test; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze test
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1090/testsuite.sh b/testsuite/synth/issue1090/testsuite.sh
index 2e9bb84bb..34e596cf5 100755
--- a/testsuite/synth/issue1090/testsuite.sh
+++ b/testsuite/synth/issue1090/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in simple_ram; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb simple_ram
echo "Test successful"
diff --git a/testsuite/synth/issue1096/testsuite.sh b/testsuite/synth/issue1096/testsuite.sh
index 2335d0b5e..874e59bda 100755
--- a/testsuite/synth/issue1096/testsuite.sh
+++ b/testsuite/synth/issue1096/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in my_entity; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb my_entity
echo "Test successful"
diff --git a/testsuite/synth/issue1100/testsuite.sh b/testsuite/synth/issue1100/testsuite.sh
index 861e2e180..898b54d89 100755
--- a/testsuite/synth/issue1100/testsuite.sh
+++ b/testsuite/synth/issue1100/testsuite.sh
@@ -3,15 +3,6 @@
. ../../testenv.sh
GHDL_STD_FLAGS=--std=08
-for t in repro; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb repro
echo "Test successful"
diff --git a/testsuite/synth/issue1107/testsuite.sh b/testsuite/synth/issue1107/testsuite.sh
index 94bce8c29..3201d0a17 100755
--- a/testsuite/synth/issue1107/testsuite.sh
+++ b/testsuite/synth/issue1107/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in unconnected; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze unconnected
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1114/testsuite.sh b/testsuite/synth/issue1114/testsuite.sh
index 976a2eec7..c666942d3 100755
--- a/testsuite/synth/issue1114/testsuite.sh
+++ b/testsuite/synth/issue1114/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in ent; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze ent
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1116/testsuite.sh b/testsuite/synth/issue1116/testsuite.sh
index 166e4c704..1b6dbe39f 100755
--- a/testsuite/synth/issue1116/testsuite.sh
+++ b/testsuite/synth/issue1116/testsuite.sh
@@ -4,10 +4,7 @@
synth --expect-failure ent1.vhdl -e
-for t in ent2; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze ent2
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1117/testsuite.sh b/testsuite/synth/issue1117/testsuite.sh
index 7b5a2cb7c..ad9d5418c 100755
--- a/testsuite/synth/issue1117/testsuite.sh
+++ b/testsuite/synth/issue1117/testsuite.sh
@@ -2,15 +2,13 @@
. ../../testenv.sh
-for t in ent; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth '-gg=x"ff_ff_00_01"' $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+analyze ent.vhdl tb_ent.vhdl
+elab_simulate tb_ent
+clean
+
+synth '-gg=x"ff_ff_00_01"' ent.vhdl -e ent > syn_ent.vhdl
+analyze syn_ent.vhdl tb_ent.vhdl
+elab_simulate tb_ent --ieee-asserts=disable-at-0
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1126/testsuite.sh b/testsuite/synth/issue1126/testsuite.sh
index c14b41fcd..b6e4518ef 100755
--- a/testsuite/synth/issue1126/testsuite.sh
+++ b/testsuite/synth/issue1126/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in bch_128x64; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze bch_128x64
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1130/testsuite.sh b/testsuite/synth/issue1130/testsuite.sh
index 9e68d3bed..a74ce9151 100755
--- a/testsuite/synth/issue1130/testsuite.sh
+++ b/testsuite/synth/issue1130/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in foo; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze foo
+clean
echo "Test successful"
diff --git a/testsuite/synth/issue1133/testsuite.sh b/testsuite/synth/issue1133/testsuite.sh
index 14c13c743..a74ce9151 100755
--- a/testsuite/synth/issue1133/testsuite.sh
+++ b/testsuite/synth/issue1133/testsuite.sh
@@ -2,11 +2,7 @@
. ../../testenv.sh
-for t in foo; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
-done
-
+synth_analyze foo
clean
echo "Test successful"
diff --git a/testsuite/synth/issue1675/testsuite.sh b/testsuite/synth/issue1675/testsuite.sh
index 23b988cc0..833a1182f 100755
--- a/testsuite/synth/issue1675/testsuite.sh
+++ b/testsuite/synth/issue1675/testsuite.sh
@@ -3,43 +3,15 @@
. ../../testenv.sh
# accum
-analyze pkg.vhdl accum.vhdl tb_accum.vhdl
-elab_simulate tb_accum
-clean
-
-synth pkg.vhdl accum.vhdl -e > syn_accum.vhdl
-analyze pkg.vhdl syn_accum.vhdl tb_accum.vhdl
-elab_simulate tb_accum --ieee-asserts=disable-at-0 --assert-level=error
-clean
+synth_tb accum pkg.vhdl
# accumwr
-analyze pkg.vhdl accum.vhdl accumwr.vhdl tb_accumwr.vhdl
-elab_simulate tb_accumwr
-clean
-
-synth pkg.vhdl accum.vhdl accumwr.vhdl -e > syn_accumwr.vhdl
-analyze pkg.vhdl syn_accumwr.vhdl tb_accumwr.vhdl
-elab_simulate tb_accumwr --ieee-asserts=disable-at-0 --assert-level=error
-clean
+synth_tb accumwr pkg.vhdl accum.vhdl
# patgen
-analyze pkg.vhdl patgen.vhdl tb_patgen.vhdl
-elab_simulate tb_patgen
-clean
-
-synth pkg.vhdl patgen.vhdl -e > syn_patgen.vhdl
-analyze pkg.vhdl syn_patgen.vhdl tb_patgen.vhdl
-elab_simulate tb_patgen --ieee-asserts=disable-at-0 --assert-level=error
-clean
+synth_tb patgen pkg.vhdl
# patacc
-analyze pkg.vhdl patgen.vhdl patacc.vhdl tb_patacc.vhdl
-elab_simulate tb_patacc
-clean
-
-synth pkg.vhdl patgen.vhdl patacc.vhdl -e > syn_patacc.vhdl
-analyze pkg.vhdl syn_patacc.vhdl tb_patacc.vhdl
-elab_simulate tb_patacc --ieee-asserts=disable-at-0 --assert-level=error
-clean
+synth_tb patacc pkg.vhdl patgen.vhdl
echo "Test successful"
diff --git a/testsuite/synth/issue872/testsuite.sh b/testsuite/synth/issue872/testsuite.sh
index 146289504..460b63430 100755
--- a/testsuite/synth/issue872/testsuite.sh
+++ b/testsuite/synth/issue872/testsuite.sh
@@ -2,8 +2,7 @@
. ../../testenv.sh
-synth alu.vhdl -e $t > syn_alu.vhdl
-analyze syn_alu.vhdl
+synth_analyze alu
clean
echo "Test successful"
diff --git a/testsuite/synth/issue882/testsuite.sh b/testsuite/synth/issue882/testsuite.sh
index c980ae914..99a9434c3 100755
--- a/testsuite/synth/issue882/testsuite.sh
+++ b/testsuite/synth/issue882/testsuite.sh
@@ -3,8 +3,7 @@
. ../../testenv.sh
GHDL_STD_FLAGS=--std=08
-synth cpu.vhdl -e $t > syn_cpu.vhdl
-analyze syn_cpu.vhdl
+synth_analyze cpu
clean
echo "Test successful"
diff --git a/testsuite/synth/issue937/testsuite.sh b/testsuite/synth/issue937/testsuite.sh
index 24d93e2e8..2d97363e1 100755
--- a/testsuite/synth/issue937/testsuite.sh
+++ b/testsuite/synth/issue937/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in enot bnot; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/issue940/testsuite.sh b/testsuite/synth/issue940/testsuite.sh
index 6ae2a9715..c666942d3 100755
--- a/testsuite/synth/issue940/testsuite.sh
+++ b/testsuite/synth/issue940/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for f in ent; do
- synth $f.vhdl -e $f > syn_$f.vhdl
- analyze syn_$f.vhdl
-done
+synth_analyze ent
clean
echo "Test successful"
diff --git a/testsuite/synth/issue941/testsuite.sh b/testsuite/synth/issue941/testsuite.sh
index 6ae2a9715..c666942d3 100755
--- a/testsuite/synth/issue941/testsuite.sh
+++ b/testsuite/synth/issue941/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for f in ent; do
- synth $f.vhdl -e $f > syn_$f.vhdl
- analyze syn_$f.vhdl
-done
+synth_analyze ent
clean
echo "Test successful"
diff --git a/testsuite/synth/issue946/testsuite.sh b/testsuite/synth/issue946/testsuite.sh
index 54e687d28..c666942d3 100755
--- a/testsuite/synth/issue946/testsuite.sh
+++ b/testsuite/synth/issue946/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for f in ent; do
- synth $f.vhdl -e $f > syn_$f.vhdl
-# analyze syn_$f.vhdl
-done
+synth_analyze ent
clean
echo "Test successful"
diff --git a/testsuite/synth/issue947/testsuite.sh b/testsuite/synth/issue947/testsuite.sh
index 54e687d28..dfbfa9852 100755
--- a/testsuite/synth/issue947/testsuite.sh
+++ b/testsuite/synth/issue947/testsuite.sh
@@ -2,10 +2,8 @@
. ../../testenv.sh
-for f in ent; do
- synth $f.vhdl -e $f > syn_$f.vhdl
-# analyze syn_$f.vhdl
-done
+synth ent.vhdl -e ent > syn_ent.vhdl
+# analyze syn_ent.vhdl
clean
echo "Test successful"
diff --git a/testsuite/synth/issue951/testsuite.sh b/testsuite/synth/issue951/testsuite.sh
index 0851b975a..5c1da263d 100755
--- a/testsuite/synth/issue951/testsuite.sh
+++ b/testsuite/synth/issue951/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in ent; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb ent
echo "Test successful"
diff --git a/testsuite/synth/issue955/testsuite.sh b/testsuite/synth/issue955/testsuite.sh
index 39568eb47..fef55134d 100755
--- a/testsuite/synth/issue955/testsuite.sh
+++ b/testsuite/synth/issue955/testsuite.sh
@@ -8,15 +8,6 @@ for f in ent; do
done
clean
-for t in ent1; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb ent1
echo "Test successful"
diff --git a/testsuite/synth/issue960/testsuite.sh b/testsuite/synth/issue960/testsuite.sh
index eef613a45..7427c656d 100755
--- a/testsuite/synth/issue960/testsuite.sh
+++ b/testsuite/synth/issue960/testsuite.sh
@@ -2,16 +2,7 @@
. ../../testenv.sh
-for t in ent2; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb ent2
synth ent.vhdl -e > syn_ent.vhdl
diff --git a/testsuite/synth/issue963/testsuite.sh b/testsuite/synth/issue963/testsuite.sh
index 33ea4d242..dd014642d 100755
--- a/testsuite/synth/issue963/testsuite.sh
+++ b/testsuite/synth/issue963/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in ent ent2; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/issue964/testsuite.sh b/testsuite/synth/issue964/testsuite.sh
index e30a741e0..5c1da263d 100755
--- a/testsuite/synth/issue964/testsuite.sh
+++ b/testsuite/synth/issue964/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in ent; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb ent
echo "Test successful"
diff --git a/testsuite/synth/issue973/testsuite.sh b/testsuite/synth/issue973/testsuite.sh
index e30a741e0..5c1da263d 100755
--- a/testsuite/synth/issue973/testsuite.sh
+++ b/testsuite/synth/issue973/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in ent; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb ent
echo "Test successful"
diff --git a/testsuite/synth/lit01/testsuite.sh b/testsuite/synth/lit01/testsuite.sh
index a273b86fc..8d307161e 100755
--- a/testsuite/synth/lit01/testsuite.sh
+++ b/testsuite/synth/lit01/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in aggr02; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb aggr02
echo "Test successful"
diff --git a/testsuite/synth/memmux01/testsuite.sh b/testsuite/synth/memmux01/testsuite.sh
index 7a9179100..a14bb4d5a 100755
--- a/testsuite/synth/memmux01/testsuite.sh
+++ b/testsuite/synth/memmux01/testsuite.sh
@@ -4,14 +4,7 @@
GHDL_STD_FLAGS=--std=08
for t in memmux01 memmux02 memmux03 memmux04 memmux05 memmux07; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/output01/testsuite.sh b/testsuite/synth/output01/testsuite.sh
index a32d2c431..519bed4dc 100755
--- a/testsuite/synth/output01/testsuite.sh
+++ b/testsuite/synth/output01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in output01 output06 output07; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/physical01/testsuite.sh b/testsuite/synth/physical01/testsuite.sh
index 594edb174..34fa15be0 100755
--- a/testsuite/synth/physical01/testsuite.sh
+++ b/testsuite/synth/physical01/testsuite.sh
@@ -2,10 +2,7 @@
. ../../testenv.sh
-for t in physical_division; do
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl
- clean
-done
+synth_analyze physical_division
+clean
echo "Test successful"
diff --git a/testsuite/synth/rec01/testsuite.sh b/testsuite/synth/rec01/testsuite.sh
index dcb0aba24..cf0c5b54d 100755
--- a/testsuite/synth/rec01/testsuite.sh
+++ b/testsuite/synth/rec01/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in rec01; do
- analyze pkg_$t.vhdl $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth pkg_$t.vhdl $t.vhdl -e $t > syn_$t.vhdl
- analyze pkg_$t.vhdl syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb rec01 pkg_rec01.vhdl
echo "Test successful"
diff --git a/testsuite/synth/stmt01/testsuite.sh b/testsuite/synth/stmt01/testsuite.sh
index 3d066e799..e5876c869 100755
--- a/testsuite/synth/stmt01/testsuite.sh
+++ b/testsuite/synth/stmt01/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in forloop2; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb forloop2
echo "Test successful"
diff --git a/testsuite/synth/synth12/testsuite.sh b/testsuite/synth/synth12/testsuite.sh
index a65695152..2365b7211 100755
--- a/testsuite/synth/synth12/testsuite.sh
+++ b/testsuite/synth/synth12/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in lut; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb lut
echo "Test successful"
diff --git a/testsuite/synth/synth34/testsuite.sh b/testsuite/synth/synth34/testsuite.sh
index 82088c6d8..117b5e8c1 100755
--- a/testsuite/synth/synth34/testsuite.sh
+++ b/testsuite/synth/synth34/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in repro_slv repro_uns repro_sgn repro_nat repro_rng1; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/synth36/testsuite.sh b/testsuite/synth/synth36/testsuite.sh
index 7ea417f3f..da6824dc3 100755
--- a/testsuite/synth/synth36/testsuite.sh
+++ b/testsuite/synth/synth36/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in bram; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb bram
echo "Test successful"
diff --git a/testsuite/synth/synth38/testsuite.sh b/testsuite/synth/synth38/testsuite.sh
index 8fbbd24ae..bf6117074 100755
--- a/testsuite/synth/synth38/testsuite.sh
+++ b/testsuite/synth/synth38/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in modulo_test; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb modulo_test
echo "Test successful"
diff --git a/testsuite/synth/synth39/testsuite.sh b/testsuite/synth/synth39/testsuite.sh
index 9bef83a1f..1dcb648af 100755
--- a/testsuite/synth/synth39/testsuite.sh
+++ b/testsuite/synth/synth39/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in record_test rec2; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/synth40/testsuite.sh b/testsuite/synth/synth40/testsuite.sh
index 54d4ea0ed..b73ce1bc2 100755
--- a/testsuite/synth/synth40/testsuite.sh
+++ b/testsuite/synth/synth40/testsuite.sh
@@ -4,15 +4,6 @@
GHDL_STD_FLAGS=--std=08
-for t in testcase; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb testcase
echo "Test successful"
diff --git a/testsuite/synth/synth50/testsuite.sh b/testsuite/synth/synth50/testsuite.sh
index 18dbb5742..c3f0ea1bd 100755
--- a/testsuite/synth/synth50/testsuite.sh
+++ b/testsuite/synth/synth50/testsuite.sh
@@ -2,8 +2,6 @@
. ../../testenv.sh
-for t in slv_negation; do
- synth $t.vhdl -e $t > syn_$t.vhdl
-done
+synth slv_negation.vhdl -e slv_negation > syn_slv_negation.vhdl
echo "Test successful"
diff --git a/testsuite/synth/synth56/testsuite.sh b/testsuite/synth/synth56/testsuite.sh
index 6ab61cc2b..1ee64abba 100755
--- a/testsuite/synth/synth56/testsuite.sh
+++ b/testsuite/synth/synth56/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in test2; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb test2
echo "Test successful"
diff --git a/testsuite/synth/synth76/testsuite.sh b/testsuite/synth/synth76/testsuite.sh
index 387dee3a1..90dbce815 100755
--- a/testsuite/synth/synth76/testsuite.sh
+++ b/testsuite/synth/synth76/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in dff02; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-done
+synth_tb dff02
echo "Test successful"
diff --git a/testsuite/synth/synth8/testsuite.sh b/testsuite/synth/synth8/testsuite.sh
index df039cb08..ea085f2da 100755
--- a/testsuite/synth/synth8/testsuite.sh
+++ b/testsuite/synth/synth8/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in vector8_test1 test5; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/synth87/testsuite.sh b/testsuite/synth/synth87/testsuite.sh
index 0c9f3ac76..2f6d955ac 100755
--- a/testsuite/synth/synth87/testsuite.sh
+++ b/testsuite/synth/synth87/testsuite.sh
@@ -2,15 +2,6 @@
. ../../testenv.sh
-for t in repro01; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
-done
+synth_tb repro01
echo "Test successful"
diff --git a/testsuite/synth/uassoc01/testsuite.sh b/testsuite/synth/uassoc01/testsuite.sh
index d82ae495d..0a8a40d6b 100755
--- a/testsuite/synth/uassoc01/testsuite.sh
+++ b/testsuite/synth/uassoc01/testsuite.sh
@@ -3,14 +3,7 @@
. ../../testenv.sh
for t in uassoc01 uassoc02 uassoc03; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"
diff --git a/testsuite/synth/var01/testsuite.sh b/testsuite/synth/var01/testsuite.sh
index d2c9c167c..b5cd66b19 100755
--- a/testsuite/synth/var01/testsuite.sh
+++ b/testsuite/synth/var01/testsuite.sh
@@ -5,14 +5,7 @@
GHDL_STD_FLAGS=--std=08
for t in var01c var01b var01a var01 var02 var03 var04 var05 var06; do
- analyze $t.vhdl tb_$t.vhdl
- elab_simulate tb_$t
- clean
-
- synth $t.vhdl -e $t > syn_$t.vhdl
- analyze syn_$t.vhdl tb_$t.vhdl
- elab_simulate tb_$t --ieee-asserts=disable-at-0
- clean
+ synth_tb $t
done
echo "Test successful"