diff options
Diffstat (limited to 'testsuite/synth/synth104/tc1.v')
-rw-r--r-- | testsuite/synth/synth104/tc1.v | 17 |
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/synth/synth104/tc1.v b/testsuite/synth/synth104/tc1.v new file mode 100644 index 000000000..200a2473b --- /dev/null +++ b/testsuite/synth/synth104/tc1.v @@ -0,0 +1,17 @@ +module tc1(input wire clk, + input wire [3:0] sel, + output reg a, + output reg b); + always @(posedge clk) begin + casex (sel) + 2'b10: begin + a <= 1; + b <= 0; + end + 2'b0x: + a<= 0; + 2'b11: + b <= 1; + endcase // casex (sel) + end // always @ (posedge clk) +endmodule // tc1 |