aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2109/bug.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2109/bug.vhdl')
-rw-r--r--testsuite/synth/issue2109/bug.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/synth/issue2109/bug.vhdl b/testsuite/synth/issue2109/bug.vhdl
new file mode 100644
index 000000000..c514c6f99
--- /dev/null
+++ b/testsuite/synth/issue2109/bug.vhdl
@@ -0,0 +1,17 @@
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.numeric_std.all;
+
+entity bug is
+generic(
+ tmp : std_ulogic_vector(0 downto 1) := ""
+);
+port(
+ val : out std_ulogic_vector(0 downto 1)
+);
+end entity;
+
+architecture rtl of bug is
+begin
+ val <= tmp;
+end architecture;