aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2062/fxt2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2062/fxt2.vhdl')
-rw-r--r--testsuite/synth/issue2062/fxt2.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/synth/issue2062/fxt2.vhdl b/testsuite/synth/issue2062/fxt2.vhdl
new file mode 100644
index 000000000..a63ff4d7e
--- /dev/null
+++ b/testsuite/synth/issue2062/fxt2.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use ieee.fixed_pkg.all;
+
+entity fxt2 is port (
+ a : in std_logic_vector(5 downto 0);
+ y : out ufixed(3 downto -2));
+end entity;
+
+architecture beh of fxt2 is
+begin
+ y <= to_ufixed(a, 6, 1);
+end beh;