aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2054/flip_flop.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2054/flip_flop.vhdl')
-rw-r--r--testsuite/synth/issue2054/flip_flop.vhdl16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/synth/issue2054/flip_flop.vhdl b/testsuite/synth/issue2054/flip_flop.vhdl
new file mode 100644
index 000000000..a5bbe5d27
--- /dev/null
+++ b/testsuite/synth/issue2054/flip_flop.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.numeric_std.all;
+use ieee.std_logic_1164.all;
+
+entity flip_flop is
+ port (
+ clk : in std_logic;
+ wire : in std_logic;
+ reg : out std_logic
+ );
+end;
+
+architecture a_flip_flop of flip_flop is
+begin
+ reg <= wire when rising_edge(clk);
+end;