aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2002/synth_bug.ref
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/issue2002/synth_bug.ref')
-rw-r--r--testsuite/synth/issue2002/synth_bug.ref6
1 files changed, 6 insertions, 0 deletions
diff --git a/testsuite/synth/issue2002/synth_bug.ref b/testsuite/synth/issue2002/synth_bug.ref
new file mode 100644
index 000000000..3f74c866d
--- /dev/null
+++ b/testsuite/synth/issue2002/synth_bug.ref
@@ -0,0 +1,6 @@
+module bug
+ (output [33:0] o);
+ localparam [33:0] n1_o = 34'b0000000000000000000000000001111100;
+ assign o = n1_o;
+endmodule
+