diff options
Diffstat (limited to 'testsuite/synth/issue1032/syn_ent.vhdl')
-rw-r--r-- | testsuite/synth/issue1032/syn_ent.vhdl | 10 |
1 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/synth/issue1032/syn_ent.vhdl b/testsuite/synth/issue1032/syn_ent.vhdl new file mode 100644 index 000000000..3cec16531 --- /dev/null +++ b/testsuite/synth/issue1032/syn_ent.vhdl @@ -0,0 +1,10 @@ +entity ent is +end ent; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +architecture rtl of ent is +begin +end rtl; |