aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/dispin01/pkg_rec04.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/dispin01/pkg_rec04.vhdl')
-rw-r--r--testsuite/synth/dispin01/pkg_rec04.vhdl9
1 files changed, 9 insertions, 0 deletions
diff --git a/testsuite/synth/dispin01/pkg_rec04.vhdl b/testsuite/synth/dispin01/pkg_rec04.vhdl
new file mode 100644
index 000000000..01cb4da3f
--- /dev/null
+++ b/testsuite/synth/dispin01/pkg_rec04.vhdl
@@ -0,0 +1,9 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+package rec04_pkg is
+ type myrec is record
+ a : std_logic_vector (3 downto 0);
+ b : std_logic;
+ end record;
+end rec04_pkg;