aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/comp02/tb_mixer.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/comp02/tb_mixer.vhdl')
-rw-r--r--testsuite/synth/comp02/tb_mixer.vhdl32
1 files changed, 32 insertions, 0 deletions
diff --git a/testsuite/synth/comp02/tb_mixer.vhdl b/testsuite/synth/comp02/tb_mixer.vhdl
new file mode 100644
index 000000000..4085ba9a9
--- /dev/null
+++ b/testsuite/synth/comp02/tb_mixer.vhdl
@@ -0,0 +1,32 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity tb_mixer is
+end tb_mixer;
+
+architecture behav of tb_mixer is
+ signal h, l, o : std_logic_vector (7 downto 0);
+begin
+ dut : entity work.mixer
+ port map (h => h, l => l, o => o);
+
+ process
+ begin
+ h <= x"00";
+ l <= x"ab";
+ wait for 1 ns;
+ assert o = x"0b" severity failure;
+
+ h <= x"50";
+ l <= x"a6";
+ wait for 1 ns;
+ assert o = x"56" severity failure;
+
+ h <= x"a3";
+ l <= x"5c";
+ wait for 1 ns;
+ assert o = x"af" severity failure;
+
+ wait;
+ end process;
+end behav;