aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/comp02/mixer.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/synth/comp02/mixer.vhdl')
-rw-r--r--testsuite/synth/comp02/mixer.vhdl17
1 files changed, 17 insertions, 0 deletions
diff --git a/testsuite/synth/comp02/mixer.vhdl b/testsuite/synth/comp02/mixer.vhdl
new file mode 100644
index 000000000..589f19980
--- /dev/null
+++ b/testsuite/synth/comp02/mixer.vhdl
@@ -0,0 +1,17 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity mixer is
+ port (h, l : std_logic_vector(7 downto 0);
+ o : out std_logic_vector (7 downto 0));
+end mixer;
+
+use work.pkg.all;
+architecture behav of mixer is
+ signal t1 : std_logic_vector (7 downto 0);
+begin
+ a1: cmask
+ generic map (mask => x"0f")
+ port map (l, t1);
+ o <= t1 or h;
+end behav;