aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/005create/replies.json
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/lsp/005create/replies.json')
-rw-r--r--testsuite/pyunit/lsp/005create/replies.json4
1 files changed, 2 insertions, 2 deletions
diff --git a/testsuite/pyunit/lsp/005create/replies.json b/testsuite/pyunit/lsp/005create/replies.json
index 327618f6e..79ccd68bc 100644
--- a/testsuite/pyunit/lsp/005create/replies.json
+++ b/testsuite/pyunit/lsp/005create/replies.json
@@ -31,7 +31,7 @@
"kind": 2,
"name": "hello_world",
"location": {
- "uri": "file://../files/hello.vhdl",
+ "uri": "file://@ROOT@/files/hello.vhdl",
"range": {
"start": {
"line": 5,
@@ -48,7 +48,7 @@
"kind": 2,
"name": "behaviour",
"location": {
- "uri": "file://../files/hello.vhdl",
+ "uri": "file://@ROOT@/files/hello.vhdl",
"range": {
"start": {
"line": 8,