aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/lsp/003errors/cmds.json
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/lsp/003errors/cmds.json')
-rw-r--r--testsuite/pyunit/lsp/003errors/cmds.json10
1 files changed, 5 insertions, 5 deletions
diff --git a/testsuite/pyunit/lsp/003errors/cmds.json b/testsuite/pyunit/lsp/003errors/cmds.json
index 9d0ec527f..2d687517e 100644
--- a/testsuite/pyunit/lsp/003errors/cmds.json
+++ b/testsuite/pyunit/lsp/003errors/cmds.json
@@ -5,8 +5,8 @@
"method": "initialize",
"params": {
"processId": 5529,
- "rootPath": "/003errors",
- "rootUri": "file://003errors",
+ "rootPath": "@ROOT@/003errors",
+ "rootUri": "file://@ROOT@/003errors",
"capabilities": {
"workspace": {
"applyEdit": true,
@@ -203,7 +203,7 @@
"trace": "off",
"workspaceFolders": [
{
- "uri": "file://pyunit/lsp/003errors",
+ "uri": "file://@ROOT@/003errors",
"name": "003errors"
}
]
@@ -219,7 +219,7 @@
"method": "textDocument/didOpen",
"params": {
"textDocument": {
- "uri": "file://pyunit/lsp/003errors/tc.vhdl",
+ "uri": "file://@ROOT@/003errors/tc.vhdl",
"languageId": "vhdl",
"version": 1,
"text": "library ieee;\nuse ieee.std_logic_1164.all;\nuse ieee.std_logic_unsigned.all;\n\nentity tb is\nend tb;\n\narchitecture behav of tb is\n signal s : std_logic_vector(7 downto 0);\nbegin\n s <= x\"73\";\nend behav; \n"
@@ -232,7 +232,7 @@
"method": "textDocument/documentSymbol",
"params": {
"textDocument": {
- "uri": "file://pyunit/lsp/003errors/tc.vhdl"
+ "uri": "file://@ROOT@/003errors/tc.vhdl"
}
}
},