aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/libghdl/arch_inside.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/libghdl/arch_inside.vhdl')
-rw-r--r--testsuite/pyunit/libghdl/arch_inside.vhdl6
1 files changed, 6 insertions, 0 deletions
diff --git a/testsuite/pyunit/libghdl/arch_inside.vhdl b/testsuite/pyunit/libghdl/arch_inside.vhdl
new file mode 100644
index 000000000..9e2184df3
--- /dev/null
+++ b/testsuite/pyunit/libghdl/arch_inside.vhdl
@@ -0,0 +1,6 @@
+architecture a2 of e2 is
+ -- comments in design units (python doc-string style) :a2:
+ --:a2: might be multi line
+begin
+
+end architecture;