aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/dom/examples/StopWatch/seg7_Encoder.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/dom/examples/StopWatch/seg7_Encoder.vhdl')
-rw-r--r--testsuite/pyunit/dom/examples/StopWatch/seg7_Encoder.vhdl1
1 files changed, 1 insertions, 0 deletions
diff --git a/testsuite/pyunit/dom/examples/StopWatch/seg7_Encoder.vhdl b/testsuite/pyunit/dom/examples/StopWatch/seg7_Encoder.vhdl
index e4c731ff9..3742982be 100644
--- a/testsuite/pyunit/dom/examples/StopWatch/seg7_Encoder.vhdl
+++ b/testsuite/pyunit/dom/examples/StopWatch/seg7_Encoder.vhdl
@@ -11,6 +11,7 @@ use work.Utilities.all;
use work.StopWatch_pkg.all;
+-- Encoder that translates from 4-bit binary (BCD) to 7-segment code.
entity seg7_Encoder is
port (
BCDValue : in T_BCD;