diff options
Diffstat (limited to 'testsuite/pyunit/SimplePackage.vhdl')
-rw-r--r-- | testsuite/pyunit/SimplePackage.vhdl | 3 |
1 files changed, 2 insertions, 1 deletions
diff --git a/testsuite/pyunit/SimplePackage.vhdl b/testsuite/pyunit/SimplePackage.vhdl index f06cc32fa..0b93adf96 100644 --- a/testsuite/pyunit/SimplePackage.vhdl +++ b/testsuite/pyunit/SimplePackage.vhdl @@ -1,5 +1,6 @@ library ieee; -use ieee.numeric_std.all +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; package pack_1 is constant const_1 : boolean; |