aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pyunit/SimpleEntity.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pyunit/SimpleEntity.vhdl')
-rw-r--r--testsuite/pyunit/SimpleEntity.vhdl6
1 files changed, 3 insertions, 3 deletions
diff --git a/testsuite/pyunit/SimpleEntity.vhdl b/testsuite/pyunit/SimpleEntity.vhdl
index a61c1cf93..98d0afbb7 100644
--- a/testsuite/pyunit/SimpleEntity.vhdl
+++ b/testsuite/pyunit/SimpleEntity.vhdl
@@ -2,7 +2,7 @@ library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-entity e1 is
+entity entity_1 is
generic (
BITS : positive := 8
);
@@ -11,9 +11,9 @@ entity e1 is
Reset: in std_logic;
Q: out std_logic_vector(BITS - 1 downto 0)
);
-end entity e1;
+end entity entity_1;
-architecture behav of e1 is
+architecture behav of entity_1 is
begin
process(Clock)
begin