aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/ticket76
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/ticket76')
-rw-r--r--testsuite/gna/ticket76/bug.vhdl12
-rwxr-xr-xtestsuite/gna/ticket76/testsuite.sh10
2 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/ticket76/bug.vhdl b/testsuite/gna/ticket76/bug.vhdl
new file mode 100644
index 000000000..dd9e6f156
--- /dev/null
+++ b/testsuite/gna/ticket76/bug.vhdl
@@ -0,0 +1,12 @@
+entity ent is
+end entity;
+
+architecture a of ent is
+begin
+ main : process
+ variable t : time := 4 ns;
+ begin
+ report to_string(t / (2 ns));
+ wait;
+ end process;
+end architecture;
diff --git a/testsuite/gna/ticket76/testsuite.sh b/testsuite/gna/ticket76/testsuite.sh
new file mode 100755
index 000000000..e0096bb68
--- /dev/null
+++ b/testsuite/gna/ticket76/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+GHDL_STD_FLAGS=--std=08
+analyze bug.vhdl
+elab_simulate ent
+clean
+
+echo "Test successful"