aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue440/e2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue440/e2.vhdl')
-rw-r--r--testsuite/gna/issue440/e2.vhdl16
1 files changed, 16 insertions, 0 deletions
diff --git a/testsuite/gna/issue440/e2.vhdl b/testsuite/gna/issue440/e2.vhdl
new file mode 100644
index 000000000..d077ea742
--- /dev/null
+++ b/testsuite/gna/issue440/e2.vhdl
@@ -0,0 +1,16 @@
+package p2 is
+ generic(
+ -- function generic_f(b:bit) return boolean
+ function f(b:bit) return boolean
+ );
+ alias generic_f is f [bit return boolean]; -- non object alias
+end package;
+
+entity e2 is end entity;
+architecture a of e2 is
+ function f(b:bit) return boolean is begin return false; end function;
+ package q is new work.p2 generic map(f);
+begin
+ assert q.generic_f('0') report "OK" severity note;
+ assert q.generic_f('0') = false report "Bad value" severity failure;
+end architecture;