aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2217/repro.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2217/repro.vhdl')
-rw-r--r--testsuite/gna/issue2217/repro.vhdl12
1 files changed, 12 insertions, 0 deletions
diff --git a/testsuite/gna/issue2217/repro.vhdl b/testsuite/gna/issue2217/repro.vhdl
new file mode 100644
index 000000000..5e92545f4
--- /dev/null
+++ b/testsuite/gna/issue2217/repro.vhdl
@@ -0,0 +1,12 @@
+entity repro is
+end entity repro;
+
+architecture beh of repro is
+ procedure enable_log_msg(variable msg_id_panel : boolean_vector)is begin
+ end procedure;
+
+ procedure enable_log_msg(msg : string)is begin
+ end procedure;
+begin
+ enable_log_msg("Test test");
+end architecture beh;