aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2116/sign01.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2116/sign01.vhdl')
-rw-r--r--testsuite/gna/issue2116/sign01.vhdl6
1 files changed, 6 insertions, 0 deletions
diff --git a/testsuite/gna/issue2116/sign01.vhdl b/testsuite/gna/issue2116/sign01.vhdl
new file mode 100644
index 000000000..a0f46cfb0
--- /dev/null
+++ b/testsuite/gna/issue2116/sign01.vhdl
@@ -0,0 +1,6 @@
+library ieee;use ieee.std_logic_1164;use ieee.numeric_std.all;entity hello is
+port(cl0:out signed(0 to 0));end hello;architecture behav of hello is
+signal v:unsigned(0 to 0);begin
+process(cl0)begin
+if g[](0)then if 0='0'then
+v;end if;end if;end process;end behav; \ No newline at end of file