aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2101/ent.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2101/ent.vhdl')
-rw-r--r--testsuite/gna/issue2101/ent.vhdl21
1 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/issue2101/ent.vhdl b/testsuite/gna/issue2101/ent.vhdl
new file mode 100644
index 000000000..54d0be346
--- /dev/null
+++ b/testsuite/gna/issue2101/ent.vhdl
@@ -0,0 +1,21 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+ port (
+ clk: in std_logic;
+ reset: in std_logic);
+end entity;
+
+architecture a of ent is
+begin
+ foo: process(clk, reset)
+ variable counter: integer range 0 to 15;
+ begin
+ if reset = '1' then
+ counter := counter'high;
+ elsif rising_edge(clk) then
+ counter := counter - 1;
+ end if;
+ end process;
+end;