aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2070/crash54.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2070/crash54.vhdl')
-rw-r--r--testsuite/gna/issue2070/crash54.vhdl5
1 files changed, 5 insertions, 0 deletions
diff --git a/testsuite/gna/issue2070/crash54.vhdl b/testsuite/gna/issue2070/crash54.vhdl
new file mode 100644
index 000000000..fcadd4fa0
--- /dev/null
+++ b/testsuite/gna/issue2070/crash54.vhdl
@@ -0,0 +1,5 @@
+library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity full_adder_tb is
+end entity full_adder_tb;architecture m of full_adder_tb is--
+type rc_data is record a:c;c:std_logic;end record rc_data;type fa_array is array(0)of rc_data;constant f:fa_array:=(('0'),('0','%'));begin process begin
+for i in 0 loop
+end loop;end process;r(0);end architecture; \ No newline at end of file