aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2070/crash53.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2070/crash53.vhdl')
-rw-r--r--testsuite/gna/issue2070/crash53.vhdl7
1 files changed, 7 insertions, 0 deletions
diff --git a/testsuite/gna/issue2070/crash53.vhdl b/testsuite/gna/issue2070/crash53.vhdl
new file mode 100644
index 000000000..e8f3de699
--- /dev/null
+++ b/testsuite/gna/issue2070/crash53.vhdl
@@ -0,0 +1,7 @@
+library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity full_adder_tb is
+end entity full_adder_tb;architecture m of full_adder_tb is--
+type rc_data is record n:c;t:std_logic;end record rc_data;type fa_array is array(0 range<>)of rc_data;constant e:fa_array:=(('0'),('0','%','0'),('0'));begin
+process
+begin
+for i in 0 loop
+end loop;end process;p(0);end; \ No newline at end of file