aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2070/crash45_1.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue2070/crash45_1.vhdl')
-rw-r--r--testsuite/gna/issue2070/crash45_1.vhdl14
1 files changed, 14 insertions, 0 deletions
diff --git a/testsuite/gna/issue2070/crash45_1.vhdl b/testsuite/gna/issue2070/crash45_1.vhdl
new file mode 100644
index 000000000..9f5c54070
--- /dev/null
+++ b/testsuite/gna/issue2070/crash45_1.vhdl
@@ -0,0 +1,14 @@
+library ieee;use ieee.std_logic_1164.all;
+use ieee.numeric_std;
+
+entity full_adder_tb is
+end entity full_adder_tb;
+
+architecture sim of full_adder_tb is
+ type rc_data is record
+ a : character;
+ t:std_logic;
+ end record;
+ constant e:rc_data:=('0','%');
+begin
+end architecture sim;