aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/bug052/tb_simple2.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/bug052/tb_simple2.vhdl')
-rw-r--r--testsuite/gna/bug052/tb_simple2.vhdl13
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/gna/bug052/tb_simple2.vhdl b/testsuite/gna/bug052/tb_simple2.vhdl
new file mode 100644
index 000000000..e137dd070
--- /dev/null
+++ b/testsuite/gna/bug052/tb_simple2.vhdl
@@ -0,0 +1,13 @@
+entity tb_simple2 is
+ generic (v : natural := 4);
+ package pkg1 is
+ constant c : natural := v + 1;
+ end pkg1;
+ constant c : natural := v - 1;
+end;
+
+architecture behav of tb_simple2 is
+begin
+ assert pkg1.c = 5 and c = 3 severity failure;
+ assert not (pkg1.c = 5 and c = 3) report "value is correct" severity note;
+end behav;