aboutsummaryrefslogtreecommitdiffstats
path: root/pyGHDL/libghdl/vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'pyGHDL/libghdl/vhdl')
-rw-r--r--pyGHDL/libghdl/vhdl/canon.py10
-rw-r--r--pyGHDL/libghdl/vhdl/flists.py5
-rw-r--r--pyGHDL/libghdl/vhdl/formatters.py8
-rw-r--r--pyGHDL/libghdl/vhdl/ieee.py14
-rw-r--r--pyGHDL/libghdl/vhdl/lists.py12
-rw-r--r--pyGHDL/libghdl/vhdl/nodes_utils.py2
-rw-r--r--pyGHDL/libghdl/vhdl/parse.py4
-rw-r--r--pyGHDL/libghdl/vhdl/scanner.py5
-rw-r--r--pyGHDL/libghdl/vhdl/sem_lib.py2
-rw-r--r--pyGHDL/libghdl/vhdl/std_package.py20
10 files changed, 36 insertions, 46 deletions
diff --git a/pyGHDL/libghdl/vhdl/canon.py b/pyGHDL/libghdl/vhdl/canon.py
index e9bddb07c..086755c35 100644
--- a/pyGHDL/libghdl/vhdl/canon.py
+++ b/pyGHDL/libghdl/vhdl/canon.py
@@ -36,13 +36,11 @@ from ctypes import c_bool
from pyGHDL.libghdl import libghdl
-__all__ = [
- "Flag_Concurrent_Stmts",
- "Flag_Configurations",
- "Flag_Associations"
-]
+__all__ = ["Flag_Concurrent_Stmts", "Flag_Configurations", "Flag_Associations"]
-Flag_Concurrent_Stmts = c_bool.in_dll(libghdl, "vhdl__canon__canon_flag_concurrent_stmts")
+Flag_Concurrent_Stmts = c_bool.in_dll(
+ libghdl, "vhdl__canon__canon_flag_concurrent_stmts"
+)
Flag_Configurations = c_bool.in_dll(libghdl, "vhdl__canon__canon_flag_configurations")
diff --git a/pyGHDL/libghdl/vhdl/flists.py b/pyGHDL/libghdl/vhdl/flists.py
index b4217b36d..3829921f3 100644
--- a/pyGHDL/libghdl/vhdl/flists.py
+++ b/pyGHDL/libghdl/vhdl/flists.py
@@ -38,10 +38,7 @@ from pydecor import export
from pyGHDL.libghdl._decorator import BindToLibGHDL
-__all__ = [
- "Flist_Type",
- "Ffirst"
-]
+__all__ = ["Flist_Type", "Ffirst"]
Flist_Type = c_int32 #: First index of a ``FList``.
diff --git a/pyGHDL/libghdl/vhdl/formatters.py b/pyGHDL/libghdl/vhdl/formatters.py
index 42e8a6679..72b72010e 100644
--- a/pyGHDL/libghdl/vhdl/formatters.py
+++ b/pyGHDL/libghdl/vhdl/formatters.py
@@ -54,7 +54,7 @@ def Indent_String(File: int, Handle: int, FirstLine: int, LastLine: int) -> None
@export
-#@BindToLibGHDL("vhdl__formatters__allocate_handle")
+# @BindToLibGHDL("vhdl__formatters__allocate_handle")
def Allocate_Handle():
"""
.. todo:: Undocumented in Ada code.
@@ -65,7 +65,7 @@ def Allocate_Handle():
@export
-#@BindToLibGHDL("vhdl__formatters__get_length")
+# @BindToLibGHDL("vhdl__formatters__get_length")
def Get_Length(Handle) -> int:
"""
.. todo:: Undocumented in Ada code.
@@ -80,7 +80,7 @@ def Get_Length(Handle) -> int:
@export
-#@BindToLibGHDL("vhdl__formatters__get_c_string")
+# @BindToLibGHDL("vhdl__formatters__get_c_string")
def Get_C_String(Handle):
"""
.. todo:: Undocumented in Ada code.
@@ -95,7 +95,7 @@ def Get_C_String(Handle):
@export
-#@BindToLibGHDL("vhdl__formatters__free_handle")
+# @BindToLibGHDL("vhdl__formatters__free_handle")
def Free_Handle(Handle) -> None:
"""
.. todo:: Undocumented in Ada code.
diff --git a/pyGHDL/libghdl/vhdl/ieee.py b/pyGHDL/libghdl/vhdl/ieee.py
index 66396143e..652782b55 100644
--- a/pyGHDL/libghdl/vhdl/ieee.py
+++ b/pyGHDL/libghdl/vhdl/ieee.py
@@ -35,19 +35,19 @@ from ctypes import c_int
from pyGHDL.libghdl import libghdl
-__all__ = [
- "Std_Logic_1164_Pkg",
- "Std_Logic_Type",
- "Std_Logic_Vector_Type"
-]
+__all__ = ["Std_Logic_1164_Pkg", "Std_Logic_Type", "Std_Logic_Vector_Type"]
-Std_Logic_1164_Pkg = c_int.in_dll(libghdl, "vhdl__ieee__std_logic_1164__std_logic_1164_pkg")
+Std_Logic_1164_Pkg = c_int.in_dll(
+ libghdl, "vhdl__ieee__std_logic_1164__std_logic_1164_pkg"
+)
# Get value
Std_Logic_Type = c_int.in_dll(libghdl, "vhdl__ieee__std_logic_1164__std_logic_type")
# Get value
-Std_Logic_Vector_Type = c_int.in_dll(libghdl, "vhdl__ieee__std_logic_1164__std_logic_vector_type")
+Std_Logic_Vector_Type = c_int.in_dll(
+ libghdl, "vhdl__ieee__std_logic_1164__std_logic_vector_type"
+)
# Get value
# Rising_Edge = c_int.in_dll(libghdl, "vhdl__ieee__std_logic_1164__rising_edge")
diff --git a/pyGHDL/libghdl/vhdl/lists.py b/pyGHDL/libghdl/vhdl/lists.py
index 1499c4dca..d9e4fda6c 100644
--- a/pyGHDL/libghdl/vhdl/lists.py
+++ b/pyGHDL/libghdl/vhdl/lists.py
@@ -42,11 +42,7 @@ from pyGHDL.libghdl._decorator import BindToLibGHDL
@export
class Iterator(Structure):
- _fields_ = [
- ("chunk", c_int32),
- ("chunk_idx", c_int32),
- ("remain", c_int32)
- ]
+ _fields_ = [("chunk", c_int32), ("chunk_idx", c_int32), ("remain", c_int32)]
@export
@@ -71,7 +67,7 @@ def Iterate(List: int) -> Iterator:
@export
-#@BindToLibGHDL("vhdl__lists__is_valid")
+# @BindToLibGHDL("vhdl__lists__is_valid")
def Is_Valid(it: Iterator) -> bool:
"""
Check if iterator reached the end.
@@ -87,7 +83,7 @@ def Is_Valid(it: Iterator) -> bool:
@export
-#@BindToLibGHDL("vhdl__lists__next")
+# @BindToLibGHDL("vhdl__lists__next")
def Next(it: Iterator) -> bool:
"""
Move iterator to the next element.
@@ -103,7 +99,7 @@ def Next(it: Iterator) -> bool:
@export
-#@BindToLibGHDL("vhdl__lists__get_element")
+# @BindToLibGHDL("vhdl__lists__get_element")
def Get_Element(it: Iterator) -> int:
"""
Get the current element from iterator.
diff --git a/pyGHDL/libghdl/vhdl/nodes_utils.py b/pyGHDL/libghdl/vhdl/nodes_utils.py
index f2b9c8bba..7cecb6825 100644
--- a/pyGHDL/libghdl/vhdl/nodes_utils.py
+++ b/pyGHDL/libghdl/vhdl/nodes_utils.py
@@ -34,7 +34,7 @@
from pydecor import export
-from pyGHDL.libghdl._types import Iir
+from pyGHDL.libghdl._types import Iir
from pyGHDL.libghdl._decorator import BindToLibGHDL
diff --git a/pyGHDL/libghdl/vhdl/parse.py b/pyGHDL/libghdl/vhdl/parse.py
index 4f7412274..c3135961f 100644
--- a/pyGHDL/libghdl/vhdl/parse.py
+++ b/pyGHDL/libghdl/vhdl/parse.py
@@ -41,9 +41,7 @@ from pyGHDL.libghdl._types import Iir
from pyGHDL.libghdl._decorator import BindToLibGHDL
-__all__ = [
- "Flag_Parse_Parenthesis"
-]
+__all__ = ["Flag_Parse_Parenthesis"]
Flag_Parse_Parenthesis = c_bool.in_dll(libghdl, "vhdl__parse__flag_parse_parenthesis")
diff --git a/pyGHDL/libghdl/vhdl/scanner.py b/pyGHDL/libghdl/vhdl/scanner.py
index 2ed1d9b11..15d41e9a9 100644
--- a/pyGHDL/libghdl/vhdl/scanner.py
+++ b/pyGHDL/libghdl/vhdl/scanner.py
@@ -41,10 +41,7 @@ from pyGHDL.libghdl._types import SourceFileEntry, NameId
from pyGHDL.libghdl._decorator import BindToLibGHDL
-__all__ = [
- "Current_Token",
- "Flag_Comment"
-]
+__all__ = ["Current_Token", "Flag_Comment"]
# This is a c_int, so you want to use its .value
Current_Token = c_int.in_dll(libghdl, "vhdl__scanner__current_token")
diff --git a/pyGHDL/libghdl/vhdl/sem_lib.py b/pyGHDL/libghdl/vhdl/sem_lib.py
index 9702302a2..c281a299c 100644
--- a/pyGHDL/libghdl/vhdl/sem_lib.py
+++ b/pyGHDL/libghdl/vhdl/sem_lib.py
@@ -34,7 +34,7 @@
from pydecor import export
-from pyGHDL.libghdl._types import SourceFileEntry, Iir_Design_File, Iir_Design_Unit
+from pyGHDL.libghdl._types import SourceFileEntry, Iir_Design_File, Iir_Design_Unit
from pyGHDL.libghdl._decorator import BindToLibGHDL
diff --git a/pyGHDL/libghdl/vhdl/std_package.py b/pyGHDL/libghdl/vhdl/std_package.py
index 690dc78a4..600aaea83 100644
--- a/pyGHDL/libghdl/vhdl/std_package.py
+++ b/pyGHDL/libghdl/vhdl/std_package.py
@@ -35,21 +35,25 @@
from ctypes import c_int32
from pyGHDL.libghdl import libghdl
-from pyGHDL.libghdl._types import Location_Type, Iir_Package_Declaration, Iir_Enumeration_Type_Definition
+from pyGHDL.libghdl._types import (
+ Location_Type,
+ Iir_Package_Declaration,
+ Iir_Enumeration_Type_Definition,
+)
-__all__ = [
- "Std_Location",
- "Standard_Package",
- "Character_Type_Definition"
-]
+__all__ = ["Std_Location", "Standard_Package", "Character_Type_Definition"]
Std_Location: Location_Type = c_int32.in_dll(libghdl, "vhdl__std_package__std_location")
"""Virtual location for the ``std.standard`` package. Use ``.value`` to access this variable inside libghdl."""
-Standard_Package: Iir_Package_Declaration = c_int32.in_dll(libghdl, "vhdl__std_package__standard_package")
+Standard_Package: Iir_Package_Declaration = c_int32.in_dll(
+ libghdl, "vhdl__std_package__standard_package"
+)
"""Virtual package ``std.package``. Use ``.value`` to access this variable inside libghdl."""
-Character_Type_Definition: Iir_Enumeration_Type_Definition = c_int32.in_dll(libghdl, "vhdl__std_package__character_type_definition")
+Character_Type_Definition: Iir_Enumeration_Type_Definition = c_int32.in_dll(
+ libghdl, "vhdl__std_package__character_type_definition"
+)
"""Predefined character. Use ``.value`` to access this variable inside libghdl."""