aboutsummaryrefslogtreecommitdiffstats
path: root/doc/quick_start
diff options
context:
space:
mode:
Diffstat (limited to 'doc/quick_start')
-rw-r--r--doc/quick_start/README.rst3
1 files changed, 2 insertions, 1 deletions
diff --git a/doc/quick_start/README.rst b/doc/quick_start/README.rst
index d599ba631..b5876bd9b 100644
--- a/doc/quick_start/README.rst
+++ b/doc/quick_start/README.rst
@@ -25,7 +25,8 @@ The following tips might be useful:
* Use :option:`--ieee=synopsys <--ieee>` if your design depends on a non-standard implementation of the IEEE library.
- * Use :option:`-fexplicit` and :option:`-frelaxed-rules` if needed. For instance when relaxing VHDL 2008's need for shared variables to be protected types, you can use ``--std=08 -frelaxed-rules``.
+ * Use :option:`-fexplicit` and :option:`-frelaxed-rules` if needed. For instance, if you would like to use VHDL 2008
+ and also use shared variables with an ordinary type (deprecated in VHDL 2000), you can use ``--std=08 -frelaxed-rules``.
* Use :option:`--work=LIB_NAME <--work>` to analyze files into the ``LIB_NAME`` library.
To use files analyzed to a different directory, give the path