aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--testsuite/synth/issue1032/ent.vhdl7
-rw-r--r--testsuite/synth/issue1032/syn_ent.vhdl10
-rwxr-xr-xtestsuite/synth/issue1032/testsuite.sh10
3 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/synth/issue1032/ent.vhdl b/testsuite/synth/issue1032/ent.vhdl
new file mode 100644
index 000000000..753dc2c0d
--- /dev/null
+++ b/testsuite/synth/issue1032/ent.vhdl
@@ -0,0 +1,7 @@
+entity ent is
+end ent;
+
+architecture a of ent is
+ constant time_div : time := 20 ns / 2;
+begin
+end a;
diff --git a/testsuite/synth/issue1032/syn_ent.vhdl b/testsuite/synth/issue1032/syn_ent.vhdl
new file mode 100644
index 000000000..3cec16531
--- /dev/null
+++ b/testsuite/synth/issue1032/syn_ent.vhdl
@@ -0,0 +1,10 @@
+entity ent is
+end ent;
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+architecture rtl of ent is
+begin
+end rtl;
diff --git a/testsuite/synth/issue1032/testsuite.sh b/testsuite/synth/issue1032/testsuite.sh
new file mode 100755
index 000000000..6bb998bce
--- /dev/null
+++ b/testsuite/synth/issue1032/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+for f in ent; do
+ synth $f.vhdl -e $f > syn_$f.vhdl
+done
+clean
+
+echo "Test successful"