From 6658d50953f61fb2d3084477d2eeff9c4dd39a98 Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 9 Oct 2021 10:50:55 +0200 Subject: testsuite: add tests for #159 --- testsuite/issues/issue159/repro.vhdl | 16 ++++++++++++++++ testsuite/issues/issue159/repro2.vhdl | 16 ++++++++++++++++ testsuite/issues/issue159/testsuite.sh | 11 +++++++++++ 3 files changed, 43 insertions(+) create mode 100644 testsuite/issues/issue159/repro.vhdl create mode 100644 testsuite/issues/issue159/repro2.vhdl create mode 100755 testsuite/issues/issue159/testsuite.sh diff --git a/testsuite/issues/issue159/repro.vhdl b/testsuite/issues/issue159/repro.vhdl new file mode 100644 index 0000000..bc172a4 --- /dev/null +++ b/testsuite/issues/issue159/repro.vhdl @@ -0,0 +1,16 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity repro is + port (i : std_logic; + o : out std_logic); +end; + +architecture behav of repro is +begin + process(i) + variable v : std_logic; + begin + o <= i or v; + end process; +end behav; diff --git a/testsuite/issues/issue159/repro2.vhdl b/testsuite/issues/issue159/repro2.vhdl new file mode 100644 index 0000000..b230555 --- /dev/null +++ b/testsuite/issues/issue159/repro2.vhdl @@ -0,0 +1,16 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity repro2 is + port (i : std_logic; + o : out std_logic); +end; + +architecture behav of repro2 is + signal v : std_logic; +begin + process(i) + begin + o <= i or v; + end process; +end behav; diff --git a/testsuite/issues/issue159/testsuite.sh b/testsuite/issues/issue159/testsuite.sh new file mode 100755 index 0000000..e0a830f --- /dev/null +++ b/testsuite/issues/issue159/testsuite.sh @@ -0,0 +1,11 @@ +#!/bin/sh + +topdir=../.. +. $topdir/testenv.sh + +for f in repro repro2; do + synth_import "${f}.vhdl -e ${f}" +done + +clean +echo OK -- cgit v1.2.3