aboutsummaryrefslogtreecommitdiffstats
path: root/examples/icezum/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'examples/icezum/Makefile')
-rw-r--r--examples/icezum/Makefile23
1 files changed, 23 insertions, 0 deletions
diff --git a/examples/icezum/Makefile b/examples/icezum/Makefile
new file mode 100644
index 0000000..ab6f5d7
--- /dev/null
+++ b/examples/icezum/Makefile
@@ -0,0 +1,23 @@
+PROJ ?= blink
+PIN_DEF = icezum.pcf
+DEVICE = hx1k
+
+all: report bin
+
+json: $(PROJ).vhdl
+ yosys -m ghdl -p 'ghdl $(PROJ).vhdl -e $(PROJ); synth_ice40 -json $@'
+
+asc: $(PIN_DEF) json
+ nextpnr-ice40 --$(DEVICE) --json json --pcf $(PIN_DEF) --asc $@
+
+bin: asc
+ icepack $< $@
+
+report: asc
+ icetime -d $(DEVICE) -mtr $@ $<
+
+clean:
+ rm -f json asc bin report work-obj93.cf
+
+.SECONDARY:
+.PHONY: all prog clean