From 9d87c925a9eaa4fc256be3173c14a20d1469472d Mon Sep 17 00:00:00 2001 From: fishsoupisgood Date: Wed, 9 Sep 2020 11:53:37 +0100 Subject: everything, mostly, working --- radiator-plc/stm32/app/ring.h | 6 ++++++ 1 file changed, 6 insertions(+) create mode 100644 radiator-plc/stm32/app/ring.h (limited to 'radiator-plc/stm32/app/ring.h') diff --git a/radiator-plc/stm32/app/ring.h b/radiator-plc/stm32/app/ring.h new file mode 100644 index 0000000..1eae65b --- /dev/null +++ b/radiator-plc/stm32/app/ring.h @@ -0,0 +1,6 @@ +typedef struct ring { + uint8_t *data; + size_t size; + size_t write; + size_t read; +} ring_t; -- cgit v1.2.3